Part Number Hot Search : 
1N4934 NJM2660A TA1418A 16340 3C02AN 02800 L2SA1576 NM1SOJ3R
Product Description
Full Text Search
 

To Download MPC555 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  MPC555 / mpc556 user?s manual revised 15 october 2000 ? copyright 2000 motorola; all rights reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 user?s manual revised 15 october 2000 ? copyright 2000 motorola; all rights reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table of contents paragraph number page number MPC555 / mpc556 table of contents motorola user?s manual rev. 15 october 2000 iii preface section 1 overview 1.1 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1 1.2 MPC555 / mpc556 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2 1.2.1 risc mcu central processing unit (rcpu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2 1.2.2 four-bank memory controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 -3 1.2.3 u-bus system interface unit (usiu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3 1.2.4 flexible memory protection unit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3 1.2.5 448 kbytes of cdr monet flash eeprom memory (cmf) . . . . . . . . . . . . . . . . . . . . . . 1-3 1.2.6 26 kbytes of static ram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3 1.2.7 general-purpose i/o support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4 1.2.8 two time processor units (tpu3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4 1.2.9 18-channel modular i/o system (mios1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4 1.2.10 two queued analog-to-digital converter modules (qadc) . . . . . . . . . . . . . . . . . . . . . 1-4 1.2.11 two can 2.0b controller modules (toucans) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5 1.2.12 queued serial multi-channel module (qsmcm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5 1.3 MPC555 / mpc556 address map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5 section 2 signal descriptions 2.1 packaging and pinout descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1 2.2 pin functionality. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6 2.3 signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 2.3.1 usiu pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 2.3.1.1 addr[8:31]/sgpioa[8:31]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 2.3.1.2 data[0:31]/sgpiod[0:31] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.3.1.3 irq [0]/sgpioc[0] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.3.1.4 irq [1]/rsv /sgpioc[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.3.1.5 irq [2]/cr /sgpioc[2]/mts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.3.1.6 irq [3]/kr /retry /sgpioc[3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.3.1.7 irq [4]/at[2]/sgpioc[4]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.3.1.8 irq [5]/sgpioc[5]/modck[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.3.1.9 irq [6:7]/modck[2:3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.3.1.10 tsiz[0:1]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.3.1.11 rd/wr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.3.1.12 burst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.3.1.13 bdip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.3.1.14 ts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.3.1.15 ta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 iv 2.3.1.16 tea . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.3.1.17 rstconf /texp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.3.1.18 oe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.3.1.19 bi /sts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.3.1.20 cs [0:3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.3.1.21 we [0:3]/be [0:3]/at[0:3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.3.1.22 poreset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.3.1.23 hreset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.3.1.24 sreset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.3.1.25 sgpioc[6]/frz/ptr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.3.1.26 sgpioc[7]/irqout /lwp[0] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.3.1.27 bg /vf[0]/lwp[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.3.1.28 br /vf[1]/iwp[2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.3.1.29 bb /vf[2]/iwp[3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.3.1.30 iwp[0:1]/vfls[0:1]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 19 2.3.1.31 tms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.3.1.32 tdi/dsdi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.3.1.33 tck/dsck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.3.1.34 tdo/dsdo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.3.1.35 trst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.36 xtal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.37 extal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.38 xfc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.39 clkout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.40 extclk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.41 vddsyn. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.42 vsssyn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20 2.3.1.43 engclk/buclk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21 2.3.2 qsmcm pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21 2.3.2.1 pcs0/ss /qgpio[0] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21 2.3.2.2 pcs(1:3)/qgpio[1:3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21 2.3.2.3 miso/qgpio[4] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 -21 2.3.2.4 mosi/qgpio[5] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 -21 2.3.2.5 sck/qgpio[6] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 -22 2.3.2.6 txd[1:2]/qgpo[1:2]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 2 2.3.2.7 rxd[1:2]/qgpi[1:2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 22 2.3.2.8 eck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22 2.3.3 mios pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22 2.3.3.1 mda[11], [13] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22 2.3.3.2 mda[12], [14] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 2.3.3.3 mda[15], [27:31]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 2.3.3.4 mpwm[0:3], [16:19] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 23 2.3.3.5 vf[0:2]/mpio32b[0:2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 v 2.3.3.6 vfls[0:1]/mpio32b[3:4] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 2.3.3.7 mpio32b[5:15] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.4 tpu_a/tpu_b pads. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 -24 2.3.4.1 tpuch[0:15]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 4 2.3.4.2 t2clk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.5 qadc_a/qadc_b pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.5.1 etrig[1:2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.5.2 an[0]/anw/pqb[0]_[a:b]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.5.3 an[1]/anx/pqb[1]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.3.5.4 an[2]/any/pqb[2]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 2.3.5.5 an[3]/anz/pqb[3]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 2.3.5.6 an[48:51]/pqb[4:7]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 2.3.5.7 an[52:54]/ma[0:2]/pqa[0:2]_[a:b]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 2.3.5.8 an[55:59]/pqa[3:7]_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.5.9 vrh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.5.10 vrl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.5.11 vdda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.5.12 vssa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.6 toucan_a/toucan_b pads. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.6.1 cntx0_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.6.2 cnrx0_[a:b] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.3.7 cmf pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.7.1 epee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.7.2 vpp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.7.3 vddf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.7.4 vssf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8 global power supplies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8.1 vddl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8.2 vddh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8.3 vddi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8.4 vssi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.3.8.5 kapwr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.3.8.6 vddsram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.3.8.7 vss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.4 reset state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.4.1 pin functionality out of reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.4.2 pad module configuration register (pdmcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 2.4.3 pin state during reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29 2.4.4 power-on reset and hard reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30 2.4.5 pull-up and pull-down enable and disable for 5-v only pins . . . . . . . . . . . . . . . . . . . . 2-30 2.4.6 pull-up and pull-down enable and disable for 3-v / 5-v multiplexed pins . . . . . . . . . . 2-30 2.4.6.1 prds signal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30 2.4.6.2 encoded 3-v / 5-v select . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 vi 2.4.6.3 examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31 2.4.7 special pull resistor disable control (sprds) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31 2.4.8 pin reset states . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32 2.5 pad types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-37 2.5.1 pad interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-37 2.5.2 three-volt output pad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38 2.5.2.1 type a interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38 2.5.2.2 type b interface (clock pad) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39 2.5.3 three-volt input pad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39 2.5.3.1 type c interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-40 2.5.3.2 type ch interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 -40 2.5.3.3 type cnh interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 41 2.5.3.4 type d interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-41 2.5.4 three-volt input/output pad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-41 2.5.4.1 type e interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42 2.5.4.2 type eoh interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 42 2.5.4.3 type f interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43 2.5.4.4 type g interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-44 2.5.5 five-volt input/output pad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45 2.5.5.1 type h interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45 2.5.5.2 type i interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-46 2.5.5.3 type ih interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-48 2.5.5.4 type j interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49 2.5.5.5 type jd interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49 2.5.6 type k interface (epee pad) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 50 2.5.7 analog pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51 2.5.7.1 type l interface (qadc port a). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51 2.5.7.2 type m interface (qadc port b) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52 2.5.7.3 type n interface (etrig). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53 2.5.8 pads with fast mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53 2.5.8.1 type o interface (qsmcm pads) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53 2.5.8.2 type p interface (tpu and mios pads) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54 2.5.9 5v input, 5v output pads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-55 2.5.9.1 5v output (type q) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2- 55 2.5.9.2 type r interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-56 2.5.9.3 5v output for clock pad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-57 2.6 pad groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-57 2.7 pin names and abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58 section 3 central processing unit 3.1 rcpu features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 3.2 rcpu block diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 vii 3.3 instruction sequencer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 3.4 independent execution units . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4 3.4.1 branch processing unit (bpu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 -5 3.4.2 integer unit (iu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5 3.4.3 load/store unit (lsu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6 3.4.4 floating-point unit (fpu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6 3.5 levels of the powerpc architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7 3.6 rcpu programming model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7 3.7 powerpc uisa register set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11 3.7.1 general-purpose registers (gprs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 3.7.2 floating-point registers (fprs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3- 12 3.7.3 floating-point status and control register (fpscr). . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 3.7.4 condition register (cr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15 3.7.4.1 condition register cr0 field definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16 3.7.4.2 condition register cr1 field definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16 3.7.4.3 condition register cr n field ? compare instruction . . . . . . . . . . . . . . . . . . . . . . 3-17 3.7.5 integer exception register (xer) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-17 3.7.6 link register (lr). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18 3.7.7 count register (ctr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19 3.8 powerpc vea register set ? time base . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19 3.9 powerpc oea register set. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20 3.9.1 machine state register (msr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2 0 3.9.2 dae/source instruction service register (dsisr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22 3.9.3 data address register (dar) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2 2 3.9.4 time base facility (tb) ? oea . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23 3.9.5 decrementer register (dec) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2 3 3.9.6 machine status save/restore register 0 (srr0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24 3.9.7 machine status save/restore register 1 (srr1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24 3.9.8 general sprs (sprg0?sprg3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25 3.9.9 processor version register (pvr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25 3.9.10 implementation-specific sprs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-26 3.9.10.1 eie, eid, and nri special-purpose registers . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-26 3.9.10.2 floating-point exception cause register (fpecr) . . . . . . . . . . . . . . . . . . . . . . . 3-26 3.9.10.3 additional implementation-specific registers . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27 3.10 instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 3.10.1 instruction set summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29 3.10.2 recommended simplified mnemonics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33 3.10.3 calculating effective addresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 3 3.11 exception model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34 3.11.1 exception classes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34 3.11.2 ordered exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34 3.11.3 unordered exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34 3.11.4 precise exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 viii 3.11.5 exception vector table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35 3.12 instruction timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-36 3.13 powerpc user instruction set architecture (uisa) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38 3.13.1 computation modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38 3.13.2 reserved fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38 3.13.3 classes of instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38 3.13.4 exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39 3.13.5 the branch processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39 3.13.6 instruction fetching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39 3.13.7 branch instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39 3.13.7.1 invalid branch instruction forms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39 3.13.7.2 branch prediction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 -39 3.13.8 the fixed-point processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 -39 3.13.8.1 fixed-point instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 9 3.13.9 floating-point processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40 3.13.9.1 general. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40 3.13.9.2 optional instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 -40 3.13.10 load/store processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40 3.13.10.1 fixed-point load with update and store with update instructions. . . . . . . . . . 3-41 3.13.10.2 fixed-point load and store multiple instructions . . . . . . . . . . . . . . . . . . . . . . . . 3-41 3.13.10.3 fixed-point load string instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41 3.13.10.4 storage synchronization instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41 3.13.10.5 floating-point load and store with update instructions . . . . . . . . . . . . . . . . . . 3-41 3.13.10.6 floating-point load single instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41 3.13.10.7 floating-point store single instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41 3.13.10.8 optional instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3- 42 3.13.10.9 little-endian byte ordering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14 powerpc virtual environment architecture (vea). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14.1 atomic update primitives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14.2 effect of operand placement on performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14.3 storage control instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14.4 instruction synchronize (isync) instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42 3.14.4.1 enforce in-order execution of i/o (eieio) instruction . . . . . . . . . . . . . . . . . . . . . . 3-43 3.14.5 timebase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15 powerpc operating environment architecture (oea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15.1 branch processor registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3- 43 3.15.1.1 machine state register (msr). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15.1.2 branch processors instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15.2 fixed-point processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15.2.1 special purpose registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3.15.3 storage control instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44 3.15.4 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44 3.15.4.1 system reset interrupt. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 ix 3.15.4.2 machine check interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-45 3.15.4.3 data storage interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4 5 3.15.4.4 instruction storage interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46 3.15.4.5 alignment interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 -46 3.15.4.6 floating-point enabled exception type program interrupt . . . . . . . . . . . . . . . . . 3-46 3.15.4.7 illegal instruction type program interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46 3.15.4.8 privileged instruction type program interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46 3.15.4.9 floating-point unavailable interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47 3.15.4.10 trace interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47 3.15.4.11 floating-point assist interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47 3.15.4.12 implementation-dependent software emulation interrupt . . . . . . . . . . . . . . . . . 3-48 3.15.4.13 implementation-specific instruction storage protection error interrupt . . . . . . . 3-49 3.15.4.14 implementation-specific data storage protection error interrupt . . . . . . . . . . . 3-50 3.15.4.15 implementation-specific debug interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51 3.15.4.16 partially executed instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-52 3.15.5 timer facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53 3.15.6 optional facilities and instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3- 53 section 4 burst buffer 4.1 burst buffer block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 4.2 burst buffer features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2 4.3 instruction vocabularybased compression model main principles . . . . . . . . . . . . . . . . . . . . . . 4-3 4.3.1 compression model features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4- 3 4.3.2 model limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4 4.3.3 vocabulary based instruction compression algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4 4.3.4 memory organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6 4.3.5 compressed code address format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8 4.3.6 compressed address format ? direct branches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9 4.3.7 compressed address format ? indirect branches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11 4.3.8 compression process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11 4.3.9 decompression. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13 4.3.10 compression environment initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14 4.4 modes of operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14 4.4.1 normal operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.2 slave operation.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.3 reset operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.4 debug mode operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.5 standby mode operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.6 burst operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.4.7 error detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16 4.5 exception table relocation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16 4.5.1 exception table relocation operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 x 4.6 burst buffer programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20 4.6.1 region base address registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 4.6.2 region attribute registers mi_ra[0:3] description . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 4.6.3 global region attribute register description (mi_gra) . . . . . . . . . . . . . . . . . . . . . . . . 4-23 4.6.4 bbc module configuration register (bbcmcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-24 section 5 unified system interface unit 5.1 module overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1 5.2 siu architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2 5.3 usiu address map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2 5.4 usiu powerpc memory map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5 section 6 system configuration and protection 6.1 system configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3 6.1.1 usiu pins multiplexing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3 6.1.2 memory mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3 6.1.3 arbitration support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4 6.2 external master modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5 6.2.1 operation of external master modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5 6.2.2 address decoding for external accesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6 6.3 usiu general-purpose i/o . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6 6.4 interrupt controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 6.4.1 siu interrupt sources priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-11 6.5 hardware bus monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.6 MPC555 / mpc556 decrementer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 -12 6.7 MPC555 / mpc556 time base (tb) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6- 13 6.8 real-time clock (rtc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14 6.9 periodic interrupt timer (pit). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15 6.10 software watchdog timer (swt) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-16 6.11 freeze operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17 6.12 low power stop operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17 6.13 system configuration and protection registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18 6.13.1 system configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18 6.13.1.1 siu module configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18 6.13.1.2 internal memory map register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-21 6.13.1.3 external master control register (emcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-22 6.13.2 siu interrupt registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-23 6.13.2.1 sipend register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6- 23 6.13.2.2 siu interrupt mask register (simask) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24 6.13.2.3 siu interrupt edge level register (siel). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-25 6.13.2.4 siu interrupt vector register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-25 6.13.3 system protection registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6- 26 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xi 6.13.3.1 system protection control register (sypcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-26 6.13.3.2 software service register (swsr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-26 6.13.3.3 transfer error status register (tesr). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-27 6.13.4 system timer registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28 6.13.4.1 decrementer register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28 6.13.4.2 time base sprs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2 8 6.13.4.3 time base reference registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29 6.13.4.4 time base control and status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29 6.13.4.5 real-time clock status and control register . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-30 6.13.4.6 real-time clock register (rtc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-31 6.13.4.7 real-time clock alarm register (rtcal) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-31 6.13.4.8 periodic interrupt status and control register (piscr). . . . . . . . . . . . . . . . . . . . 6-32 6.13.4.9 periodic interrupt timer count register (pitc) . . . . . . . . . . . . . . . . . . . . . . . . . . 6-32 6.13.4.10 periodic interrupt timer register (pitr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-33 6.13.5 general-purpose i/o registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-34 6.13.5.1 sgpio data register 1 (sgpiodt1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-34 6.13.5.2 sgpio data register 2 (sgpiodt2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-34 6.13.5.3 sgpio control register (sgpiocr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-35 section 7 reset 7.1 reset operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 7.1.1 power on reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 7.1.2 hard reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2 7.1.3 soft reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2 7.1.4 loss of lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.5 on-chip clock switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.6 software watchdog reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.7 checkstop reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.8 debug port hard reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.9 debug port soft reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.1.10 jtag reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.2 reset actions summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3 7.3 data coherency during reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4 7.4 reset status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5 7.5 reset configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 7.5.1 hard reset configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 7.5.2 hard reset configuration word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11 7.5.3 soft reset configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12 section 8 clocks and power control 8.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 8.2 system clock sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xii 8.3 system pll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 8.3.1 frequency multiplication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4 8.3.2 skew elimination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4 8.3.3 pre-divider . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4 8.3.4 pll block diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4 8.3.5 pll pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-5 8.4 system clock during pll loss of lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6 8.5 low-power divider . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6 8.6 MPC555 / mpc556 internal clock signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8- 7 8.6.1 general system clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 8.6.2 clkout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12 8.6.3 engineering clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12 8.7 clock source switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-13 8.8 low-power modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15 8.8.1 entering a low-power mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 5 8.8.2 power mode descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 8.8.3 exiting from low-power modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 8.8.3.1 exiting from normal-low mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17 8.8.3.2 exiting from doze mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17 8.8.3.3 exiting from deep-sleep mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17 8.8.3.4 exiting from power-down mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18 8.8.3.5 low-power modes flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18 8.9 basic power structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.9.1 clock unit power supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.9.2 chip power structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.9.2.1 vddl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.9.2.2 vddi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.9.2.3 vddsyn, vsssyn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.4 kapwr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.5 vdda, vssa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.6 vpp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.7 vddf, vssf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.8 vddh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.9 vddsram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.2.10 vss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9.3 keep alive power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22 8.9.3.1 keep alive power configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22 8.9.3.2 keep alive power registers lock mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23 8.10 vddsram supply failure detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8- 25 8.11 power up/down sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25 8.12 clocks unit programming model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29 8.12.1 system clock control register (sccr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30 8.12.2 pll, low-power, and reset-control register (plprcr) . . . . . . . . . . . . . . . . . . . . . . 8-33 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xiii 8.12.3 change of lock interrupt register (colir) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-35 8.12.4 vddsram control register (vsrmcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36 section 9 external bus interface 9.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 9.2 bus transfer signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 9.3 bus control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2 9.4 bus interface signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3 9.5 bus operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7 9.5.1 basic transfer protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8 9.5.2 single beat transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8 9.5.2.1 single beat read flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9- 8 9.5.2.2 single beat write flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 1 9.5.2.3 single beat flow with small port size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-14 9.5.3 burst transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15 9.5.4 burst mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-16 9.5.5 alignment and packaging of transfers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-28 9.5.6 arbitration phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-30 9.5.6.1 bus request . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-31 9.5.6.2 bus grant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-32 9.5.6.3 bus busy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-32 9.5.6.4 internal bus arbiter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-33 9.5.7 address transfer phase signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35 9.5.7.1 transfer start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36 9.5.7.2 address bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36 9.5.7.3 read/write . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36 9.5.7.4 burst indicator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36 9.5.7.5 transfer size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-37 9.5.7.6 address types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-37 9.5.7.7 burst data in progress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3 8 9.5.8 termination signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-38 9.5.8.1 transfer acknowledge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-38 9.5.8.2 burst inhibit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-39 9.5.8.3 transfer error acknowledge. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-39 9.5.8.4 termination signals protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-39 9.5.9 storage reservation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-40 9.5.10 bus exception control cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4 3 9.5.10.1 retrying a bus cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4 3 9.5.10.2 termination signals protocol summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47 9.5.11 bus operation in external master modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47 9.5.12 contention resolution on external bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-52 9.5.13 show cycle transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 -54 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xiv section 10 memory controller 10.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1 10.2 memory controller architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3 10.2.1 associated registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4 10.2.2 port size configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5 10.2.3 write-protect configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5 10.2.4 address and address space checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5 10.2.5 burst support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5 10.3 chip-select timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6 10.3.1 memory devices interface example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7 10.3.2 peripheral devices interface example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8 10.3.3 relaxed timing examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1 0 10.3.4 extended hold time on read accesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-14 10.3.5 summary of gpcm timing options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-18 10.4 global (boot) chip-select operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-20 10.5 write and byte enable signals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-21 10.6 dual mapping of the internal flash eeprom array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-21 10.7 memory controller external master support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-24 10.8 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-27 10.8.1 general memory controller programming notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-27 10.8.2 memory controller status registers (mstat) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-28 10.8.3 memory controller base registers (br0 ? br3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-28 10.8.4 memory controller option registers (or0 ? or3) . . . . . . . . . . . . . . . . . . . . . . . . . . 10-30 10.8.5 dual mapping base register (dmbr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-31 10.8.6 dual-mapping option register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-32 section 11 l-bus to u-bus interface (l2u) 11.1 general features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1 11.2 dmpu features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1 11.3 l2u block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2 11.4 modes of operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2 11.4.1 normal mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 11.4.2 reset operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 11.4.3 factory test mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 11.4.4 peripheral mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 11.5 data memory protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4 11.5.1 functional description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4 11.5.2 associated registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5 11.5.3 l-bus memory access violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7 11.6 reservation support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7 11.6.1 the reservation protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xv 11.6.2 l2u reservation support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1-7 11.6.3 reserved location (bus) and possible actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-8 11.7 l-bus show cycle support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9 11.7.1 programming show cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9 11.7.2 performance impact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9 11.7.3 show cycle protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-10 11.7.4 l-bus write show cycle flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-10 11.7.5 l-bus read show cycle flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-11 11.7.6 show cycle support guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-11 11.8 l2u programming model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12 11.8.1 u-bus access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13 11.8.2 transaction size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13 11.8.3 l2u module configuration register (l2u_mcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13 11.8.4 region base address registers (l2u_rbax) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-14 11.8.5 region attribute registers (l2u_rax) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15 11.8.6 global region attribute register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15 section 12 u-bus to imb3 bus interface (uimb) 12.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 12.2 uimb block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2 12.3 clock module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2 12.4 interrupt operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3 12.4.1 interrupt sources and levels on imb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4 12.4.2 imb interrupt multiplexing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4 12.4.3 ilbs sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4 12.4.4 interrupt synchronizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-6 12.5 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-7 12.5.1 uimb module configuration register (umcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-7 12.5.2 test control register (utstcreg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8 12.5.3 pending interrupt request register (uipend) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8 section 13 queued analog-to-digital converter module-64 13.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 13.2 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2 13.3 qadc64 pin functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2 13.3.1 port a pin functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 13.3.1.1 port a analog input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 13.3.1.2 port a digital input/output pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 13.3.2 port b pin functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 13.3.2.1 port b analog input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 13.3.2.2 port b digital input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 -4 13.3.3 external trigger input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xvi 13.3.4 multiplexed address output pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 13.3.5 multiplexed analog input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 -5 13.3.6 voltage reference pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5 13.3.7 dedicated analog supply pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5 13.3.8 external digital supply pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5 13.3.9 digital supply pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5 13.4 qadc64 bus interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6 13.5 module configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6 13.5.1 low-power stop mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 3-6 13.5.2 freeze mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6 13.5.3 supervisor/unrestricted address space. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7 13.6 general-purpose i/o port operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7 13.6.1 port data register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-8 13.6.2 port data direction register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-8 13.7 external multiplexing operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9 13.8 analog input channels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10 13.9 analog subsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11 13.9.1 conversion cycle times. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 -12 13.9.1.1 amplifier bypass mode conversion timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13 13.9.2 front-end analog multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 4 13.9.3 digital-to-analog converter array. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14 13.9.4 comparator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14 13.9.5 successive approximation register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14 13.10 digital control subsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14 13.10.1 queue priority. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-15 13.10.2 queue boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-17 13.10.3 scan modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18 13.10.3.1 disabled mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13- 18 13.10.3.2 reserved mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18 13.10.3.3 single-scan modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18 13.10.3.4 continuous-scan modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-21 13.10.4 qadc64 clock (qclk) generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-24 13.10.5 periodic/interval timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-29 13.11 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-29 13.11.1 interrupt sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-30 13.11.2 interrupt register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-31 13.11.3 interrupt levels and time multiplexing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-31 13.12 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-31 13.12.1 qadc64 module configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-33 13.12.2 qadc64 test register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13- 33 13.12.3 qadc64 interrupt register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-33 13.12.4 port a/b data register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 3-34 13.12.5 port data direction register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13- 35 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xvii 13.12.6 qadc64 control register 0 (qacr0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-35 13.12.7 qadc64 control register 1 (qacr1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-36 13.12.8 qadc64 control register 2 (qacr2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-38 13.12.9 qadc64 status register 0 (qasr0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-40 13.12.10 qadc64 status register 1 (qasr1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-42 13.12.11 conversion command word table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-43 13.12.12 result word table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-49 section 14 queued serial multi-channel module 14.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 14.2 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 14.3 signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14.4 memory map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14.5 qsmcm global registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4 14.5.1 low-power stop operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14- 5 14.5.2 freeze operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5 14.5.3 access protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5 14.5.4 qsmcm interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6 14.5.5 qsmcm configuration register (qsmcmmcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7 14.5.6 qsmcm test register (qtest) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-8 14.5.7 qsmcm interrupt level registers (qdsci_il, qspi_il) . . . . . . . . . . . . . . . . . . . . . . 14-8 14.6 qsmcm pin control registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 14.6.1 port qs data register (portqs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-10 14.6.2 portqs pin assignment register (pqspar) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11 14.6.3 portqs data direction register (ddrqs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12 14.7 queued serial peripheral interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13 14.7.1 qspi registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-15 14.7.1.1 qspi control register 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-16 14.7.1.2 qspi control register 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 14.7.1.3 qspi control register 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 14.7.1.4 qspi control register 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-19 14.7.1.5 qspi status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-20 14.7.2 qspi ram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-21 14.7.2.1 receive ram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -22 14.7.2.2 transmit ram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -22 14.7.2.3 command ram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-22 14.7.3 qspi pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-23 14.7.4 qspi operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-24 14.7.4.1 enabling, disabling, and halting the spi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-25 14.7.4.2 qspi interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 4-26 14.7.4.3 qspi flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-26 14.7.5 master mode operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -33 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xviii 14.7.5.1 clock phase and polarity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-34 14.7.5.2 baud rate selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-34 14.7.5.3 delay before transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-35 14.7.5.4 delay after transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3 5 14.7.5.5 transfer length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -36 14.7.5.6 peripheral chip selects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-36 14.7.5.7 master wraparound mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37 14.7.6 slave mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37 14.7.6.1 description of slave operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-39 14.7.7 slave wraparound mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4 0 14.7.8 mode fault . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41 14.8 serial communication interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41 14.8.1 sci registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-44 14.8.2 sci control register 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-45 14.8.3 sci control register 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-45 14.8.4 sci status register (scxsr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-47 14.8.5 sci data register (scxdr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-49 14.8.6 sci pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-50 14.8.7 sci operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-50 14.8.7.1 definition of terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14- 50 14.8.7.2 serial formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 4-51 14.8.7.3 baud clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-51 14.8.7.4 parity checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -52 14.8.7.5 transmitter operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-52 14.8.7.6 receiver operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5 4 14.8.7.7 receiver functional operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-56 14.8.7.8 idle-line detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 -57 14.8.7.9 receiver wake-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-58 14.8.7.10 internal loop mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-58 14.9 sci queue operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-58 14.9.1 queue operation of sci1 for transmit and receive . . . . . . . . . . . . . . . . . . . . . . . . . 14-58 14.9.2 queued sci1 status and control registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-59 14.9.2.1 qsci1 control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-59 14.9.2.2 qsci1 status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-61 14.9.3 qsci1 transmitter block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-61 14.9.4 qsci1 additional transmit operation features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-62 14.9.5 qsci1 transmit flow chart implementing the queue . . . . . . . . . . . . . . . . . . . . . . . . 14-64 14.9.6 example qsci1 transmit for 17 data bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-66 14.9.7 example sci transmit for 25 data bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-67 14.9.8 qsci1 receiver block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-68 14.9.9 qsci1 additional receive operation features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-68 14.9.10 qsci1 receive flow chart implementing the queue . . . . . . . . . . . . . . . . . . . . . . . 14-71 14.9.11 qsci1 receive queue software flow chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-72 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xix 14.9.12 example qsci1 receive operation of 17 data frames . . . . . . . . . . . . . . . . . . . . . 14-73 section 15 modular input/output subsystem (mios1) 15.1 mios1 features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1 15.2 submodule numbering, naming and addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3 15.3 mios1 signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3 15.4 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4 15.5 mios1 bus system . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6 15.5.1 read/write and control bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 -6 15.5.2 request bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6 15.5.3 counter bus set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6 15.6 mios1 programmer?s model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6 15.7 mios1 i/o ports. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8 15.8 mios bus interface submodule (mbism) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8 15.8.1 mios bus interface (mbism) registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8 15.8.1.1 mios1 test and pin control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8 15.8.1.2 mios1 vector register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9 15.8.1.3 mios1 module and version number register . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9 15.8.1.4 mios1 module configuration register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9 15.8.2 mbism interrupt registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15- 10 15.8.2.1 mios1 interrupt level register 0 (mios1lvl0) . . . . . . . . . . . . . . . . . . . . . . . . 15-10 15.8.2.2 mios1 interrupt level register 1 (mios1lvl1) . . . . . . . . . . . . . . . . . . . . . . . . 15-11 15.8.3 interrupt control section (ics) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15- 11 15.9 mios counter prescaler submodule (mcpsm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-12 15.9.1 mios counter prescaler submodule (mcpsm) registers . . . . . . . . . . . . . . . . . . . . 15-12 15.9.1.1 mcpsm status/control register (mcpsmcscr) . . . . . . . . . . . . . . . . . . . . . . . 15-13 15.10 mios modulus counter submodule (mmcsm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-13 15.10.1 mios modulus counter submodule (mmcsm) registers . . . . . . . . . . . . . . . . . . . . 15-15 15.10.1.1 mmcsm up-counter register (mmcsmcnt). . . . . . . . . . . . . . . . . . . . . . . . . 15-16 15.10.1.2 mmcsm modulus latch register (mmcsmml) . . . . . . . . . . . . . . . . . . . . . . . 15-16 15.10.1.3 mmcsm status/control register (duplicated) . . . . . . . . . . . . . . . . . . . . . . . . . 15-16 15.10.1.4 mmcsm status/control register (mmcsmscr) . . . . . . . . . . . . . . . . . . . . . . 15-17 15.11 mios double action submodule (mdasm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-18 15.11.1 mios double action submodule (mdasm) registers . . . . . . . . . . . . . . . . . . . . . . . 15-19 15.11.1.1 mdasm data a register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-21 15.11.1.2 mdasm data b register (mdasmbr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-21 15.11.1.3 mdasm status/control register (duplicated) . . . . . . . . . . . . . . . . . . . . . . . . . 15-22 15.11.1.4 mdasm status/control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-23 15.12 mios pulse width modulation submodule (mpwmsm) . . . . . . . . . . . . . . . . . . . . . . . . . . 15-25 15.12.1 mios pulse width modulation submodule (mpwmsm) registers . . . . . . . . . . . . . 15-26 15.12.1.1 mpwmsm period register (mpwmsmperr) . . . . . . . . . . . . . . . . . . . . . . . . 15-27 15.12.1.2 mpwmsm pulse width register (mpwmsmpulr) . . . . . . . . . . . . . . . . . . . . 15-27 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xx 15.12.1.3 mpwmsm counter register (mpwmsmcntr) . . . . . . . . . . . . . . . . . . . . . . . 15-28 15.12.1.4 mpwmsm status/control register(mpwmsmcr) . . . . . . . . . . . . . . . . . . . . . 15-28 15.13 mios 16-bit parallel port i/o submodule (mpiosm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-30 15.13.1 mios 16-bit parallel port i/o submodule (mpiosm) registers. . . . . . . . . . . . . . . . 15-30 15.13.1.1 mpiosm data register (mpiosmdr). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-30 15.13.1.2 mpiosm data direction register (mpiosmddr) . . . . . . . . . . . . . . . . . . . . . . 15-31 15.14 mios1 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-31 15.14.1 mios interrupt request submodule (mirsm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-32 15.14.2 mios interrupt request submodule 0 (mirsm0) registers . . . . . . . . . . . . . . . . . . 15-33 15.14.2.1 mirsm0 interrupt status register (mios1sr0) . . . . . . . . . . . . . . . . . . . . . . . 15-34 15.14.2.2 mirsm0 interrupt enable register (mios1er0) . . . . . . . . . . . . . . . . . . . . . . . 15-35 15.14.2.3 mirsm0 request pending register (mios1rpr0) . . . . . . . . . . . . . . . . . . . . 15-35 15.14.3 mios interrupt request submodule 1 (mirsm1) registers . . . . . . . . . . . . . . . . . . 15-36 15.14.3.1 mirsm1 interrupt status register (mios1sr1) . . . . . . . . . . . . . . . . . . . . . . . 15-36 15.14.3.2 mirsm1 interrupt enable register (mios1er1) . . . . . . . . . . . . . . . . . . . . . . . 15-37 15.14.3.3 mirsm1 request pending register (mios1rpr1) . . . . . . . . . . . . . . . . . . . . 15-37 15.15 mios1 function examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-38 15.15.1 mios1 input double edge pulse width measurement . . . . . . . . . . . . . . . . . . . . . . 15-38 15.15.2 mios1 input double edge period measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-40 15.15.3 mios1 double edge single output pulse generation . . . . . . . . . . . . . . . . . . . . . . . 15-41 15.15.4 mios1 output pulse width modulation with mdasm . . . . . . . . . . . . . . . . . . . . . . . 15-42 15.15.5 mios1 input pulse accumulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-43 15.16 mios1 configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-43 section 16 can 2.0b controller module 16.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1 16.2 external pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-2 16.3 toucan architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-3 16.3.1 tx/rx message buffer structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-3 16.3.1.1 common fields for extended and standard format frames. . . . . . . . . . . . . . . . 16-4 16.3.1.2 fields for extended format frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6 16.3.1.3 fields for standard format frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6 16.3.1.4 serial message buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6 16.3.1.5 message buffer activation/deactivation mechanism . . . . . . . . . . . . . . . . . . . . . . 16-7 16.3.1.6 message buffer lock/release/busy mechanism . . . . . . . . . . . . . . . . . . . . . . . . . 16-7 16.3.2 receive mask registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7 16.3.3 bit timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-8 16.3.3.1 configuring the toucan bit timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-9 16.3.4 error counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-9 16.3.5 time stamp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-10 16.4 toucan operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-11 16.4.1 toucan reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-11 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxi 16.4.2 toucan initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-11 16.4.3 transmit process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-12 16.4.3.1 transmit message buffer deactivation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-13 16.4.3.2 reception of transmitted frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-13 16.4.4 receive process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-13 16.4.4.1 receive message buffer deactivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-14 16.4.4.2 locking and releasing message buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-15 16.4.5 remote frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-15 16.4.6 overload frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-16 16.5 special operating modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-16 16.5.1 debug mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-16 16.5.2 low-power stop mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16- 17 16.5.3 auto power save mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16- 18 16.6 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-19 16.7 programmer?s model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-20 16.7.1 toucan module configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-22 16.7.2 toucan test configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-24 16.7.3 toucan interrupt configuration register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-24 16.7.4 control register 0. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-25 16.7.5 control register 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-26 16.7.6 prescaler divide register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 6-27 16.7.7 control register 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-28 16.7.8 free running timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-29 16.7.9 receive global mask registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-29 16.7.10 receive buffer 14 mask registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-30 16.7.11 receive buffer 15 mask registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-30 16.7.12 error and status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 -30 16.7.13 interrupt mask register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 6-32 16.7.14 interrupt flag register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-33 16.7.15 error counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-33 section 17 time processor unit 3 17.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-1 17.2 tpu3 components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 17.2.1 time bases. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 17.2.2 timer channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 17.2.3 scheduler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 17.2.4 microengine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 17.2.5 host interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3 17.2.6 parameter ram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3 17.3 tpu operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3 17.3.1 event timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxii 17.3.2 channel orthogonality . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3 17.3.3 interchannel communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17- 4 17.3.4 programmable channel service priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-4 17.3.5 coherency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-4 17.3.6 emulation support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-4 17.3.7 tpu3 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-5 17.3.8 prescaler control for tcr1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 7-5 17.3.9 prescaler control for tcr2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 7-7 17.4 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-8 17.4.1 tpu module configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-10 17.4.2 tpu3 test configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-12 17.4.3 development support control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-12 17.4.4 development support status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-14 17.4.5 tpu3 interrupt configuration register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-14 17.4.6 channel interrupt enable register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-15 17.4.7 channel function select registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-15 17.4.8 host sequence registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17- 16 17.4.9 host service request registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-17 17.4.10 channel priority registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 -18 17.4.11 channel interrupt status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-19 17.4.12 link register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-19 17.4.13 service grant latch register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-19 17.4.14 decoded channel number register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-19 17.4.15 tpu3 module configuration register 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-20 17.4.16 tpu module configuration register 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-21 17.4.17 tpu3 test registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 7-22 17.4.18 tpu3 parameter ram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2 2 17.5 time functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-23 section 18 dual-port tpu ram (dptram) 18.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-1 18.2 dptram configuration and block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-2 18.3 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-2 18.3.1 dptram module configuration register (dptmcr) . . . . . . . . . . . . . . . . . . . . . . . . . 18-3 18.3.2 dptram test register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 8-4 18.3.3 ram base address register (rambar) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-5 18.3.4 misr high (misrh) and misr low (misrl) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-5 18.3.5 misc counter (miscnt) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 -6 18.4 operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6 18.4.1 normal operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6 18.4.2 standby operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6 18.4.3 reset operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-7 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxiii 18.4.4 stop operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-7 18.4.5 freeze operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-8 18.4.6 tpu3 emulation mode operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-8 18.5 multiple input signature calculator (misc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 8-8 section 19 cdr monet flash eeprom 19.1 introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-1 19.1.1 MPC555 / mpc556 cmf features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-2 19.1.2 glossary of terms for the cmf eeprom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-2 19.2 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-4 19.2.1 cmf eeprom control registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-4 19.2.1.1 cmf eeprom configuration register (cmfmcr) . . . . . . . . . . . . . . . . . . . . . . . 19-5 19.2.1.2 cmf eeprom test register (cmftst). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-7 19.2.1.3 cmf eeprom high voltage control register (cmfctl). . . . . . . . . . . . . . . . . . 19-9 19.2.2 cmf eeprom array addressing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-11 19.2.2.1 read page buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-12 19.2.2.2 program page buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-13 19.2.2.3 array configuration for cmf module a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-14 19.2.2.4 array configuration for cmf module b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-15 19.3 shadow information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-15 19.3.1 address range of shadow information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-16 19.3.2 reset configuration word (cmfcfig) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-16 19.4 array read operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-17 19.5 programming the cmf array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-18 19.5.1 program sequence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-18 19.5.2 program margin reads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19- 22 19.5.3 over-programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-23 19.6 erasing cmf array blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-23 19.6.1 erase sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-23 19.6.2 erase margin reads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-26 19.6.3 erasing shadow information words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-26 19.7 voltage control for programming and erasing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-27 19.7.1 pulse status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-27 19.7.2 pulse width timing equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-27 19.7.3 system clock scaling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-28 19.7.4 exponential clock multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 -29 19.7.5 linear clock multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-29 19.7.6 a technique to determine sclkr, clkpe, and clkpm . . . . . . . . . . . . . . . . . . . . . 19-29 19.7.7 starting and ending a program or erase sequence . . . . . . . . . . . . . . . . . . . . . . . . . 19-30 19.7.8 controlling the program/erase voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-31 19.8 censored and non-censored accesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-31 19.8.1 uncensored mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-31 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxiv 19.8.2 censored mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-31 19.8.3 device modes and censorship status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-32 19.8.4 setting and clearing censor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-3 3 19.8.5 switching the cmf eeprom censorship . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-35 19.9 pin descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-36 19.9.1 e pee signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-36 19.9.2 flash program/erase voltage conditioning. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-37 19.10 reset operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-39 19.10.1 master reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-39 19.10.2 soft reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-39 19.10.3 emulation operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-40 19.11 disabling the cmf module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-40 section 20 static random access memory (sram) 20.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-1 20.2 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-1 20.3 programming model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-2 20.3.1 sram module configuration register (srammcr) . . . . . . . . . . . . . . . . . . . . . . . . . . 20-2 20.3.2 sram test register (sramtst) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-3 section 21 development support 21.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-1 21.2 program flow tracking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-1 21.2.1 program trace cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-2 21.2.1.1 instruction queue status pins ? vf [0:2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-3 21.2.1.2 history buffer flushes status pins? vfls [0..1] . . . . . . . . . . . . . . . . . . . . . . . . 21-4 21.2.1.3 queue flush information special case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-4 21.2.2 program trace when in debug mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-4 21.2.3 sequential instructions marked as indirect branch . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-5 21.2.4 the external hardware. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-5 21.2.4.1 synchronizing the trace window to the cpu internal events . . . . . . . . . . . . . . . 21-5 21.2.4.2 detecting the trace window start address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-6 21.2.4.3 detecting the assertion/negation of vsync . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-7 21.2.4.4 detecting the trace window end address. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-7 21.2.4.5 compress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-7 21.2.5 instruction fetch show cycle control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-8 21.3 watchpoints and breakpoints support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1-8 21.3.1 internal watchpoints and breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-11 21.3.1.1 restrictions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-13 21.3.1.2 byte and half-word working modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-13 21.3.1.3 examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-14 21.3.1.4 context dependent filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxv 21.3.1.5 ignore first match. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 -15 21.3.1.6 generating six compare types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-16 21.3.2 instruction support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-16 21.3.2.1 load/store support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-17 21.3.3 watchpoint counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-21 21.3.3.1 trap enable programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-21 21.4 development system interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-21 21.4.1 debug mode support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 -24 21.4.1.1 debug mode enable vs. debug mode disable. . . . . . . . . . . . . . . . . . . . . . . . . . 21-26 21.4.1.2 entering debug mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-26 21.4.1.3 the check stop state and debug mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-29 21.4.1.4 saving machine state upon entering debug mode . . . . . . . . . . . . . . . . . . . . . . 21-29 21.4.1.5 running in debug mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-30 21.4.1.6 exiting debug mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-30 21.5 development port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-31 21.5.1 development port pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1-31 21.5.2 development serial clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21- 31 21.5.3 development serial data in . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21- 31 21.5.4 development serial data out. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-32 21.5.5 freeze signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-32 21.5.5.1 sgpio6/frz/ptr pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-32 21.5.5.2 iwp[0:1]/vfls[0:1] pins. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-32 21.5.5.3 vfls[0:1]_mpio32b[3:4] pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-32 21.5.6 development port registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-3 2 21.5.6.1 development port shift register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-33 21.5.6.2 trap enable control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-33 21.5.6.3 development port registers decode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-33 21.5.6.4 development port serial communications ? clock mode selection . . . . . . . . 21-34 21.5.6.5 development port serial communications ? trap enable mode . . . . . . . . . . . 21-38 21.5.6.6 serial data into development port ? trap enable mode . . . . . . . . . . . . . . . . . 21-38 21.5.6.7 serial data out of development port ? trap enable mode . . . . . . . . . . . . . . . 21-39 21.5.6.8 development port serial communications ? debug mode. . . . . . . . . . . . . . . . 21-39 21.5.6.9 serial data into development port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-40 21.5.6.10 serial data out of development port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-41 21.5.6.11 fast download procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-41 21.6 software monitor debugger support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 -43 21.6.1 freeze indication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-43 21.7 development support registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-43 21.7.1 register protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-44 21.7.2 comparator a?d value registers (cmpa?cmpd) . . . . . . . . . . . . . . . . . . . . . . . . . . 21-45 21.7.3 comparator e?f value registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-46 21.7.4 breakpoint address register (bar) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-46 21.7.5 comparator g?h value registers (cmpg?cmph) . . . . . . . . . . . . . . . . . . . . . . . . . . 21-46 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxvi 21.7.6 i-bus support control register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-4 7 21.7.7 l-bus support control register 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-49 21.7.8 l-bus support control register 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-50 21.7.9 breakpoint counter a value and control register . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-52 21.7.10 breakpoint counter b value and control register . . . . . . . . . . . . . . . . . . . . . . . . . . 21-53 21.7.11 exception cause register (ecr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-53 21.7.12 debug enable register (der) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-55 21.7.13 development port data register (dpdr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-57 section 22 ieee 1149.1-compliant interface (jtag) 22.1 jtag interface block diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-1 22.2 jtag signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-2 22.3 operating frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3 22.4 tap controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3 22.5 instruction register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-4 22.5.1 extest . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-5 22.5.2 sample/preload. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 -5 22.5.3 bypass . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-5 22.5.4 clamp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6 22.5.5 hi-z. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6 22.6 restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6 22.7 low-power stop mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6 22.8 non-ieee 1149.1-1990 operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-7 22.9 boundary scan register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-7 appendix a MPC555 / mpc556 internal memory map appendix b register general index appendix c register diagram index appendix d tpu rom functions d.1 overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-1 d.2 programmable time accumulator (pta). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-4 d.3 queued output match tpu function (qom) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-6 d.4 table stepper motor (tsm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-8 d.5 frequency measurement (fqm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d -11 d.6 universal asynchronous receiver/transmitter (uart) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-13 d.7 new input capture/transition counter (nitc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-16 d.8 multiphase motor commutation (comm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-18 d.9 hall effect decode (halld) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-20 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxvii d.10 multichannel pulse-width modulation (mcpwm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-22 d.11 fast quadrature decode tpu function (fqd) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-29 d.12 period/pulse-width accumulator (ppwa). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-32 d.13 output compare (oc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-34 d.14 pulse-width modulation (pwm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-36 d.15 discrete input/output (dio). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-38 d.16 synchronized pulse-width modulation (spwm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-40 d.17 read / write timers and pin tpu function (rwtpin). . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-43 d.18 id tpu function (id) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-45 d.19 serial input/output port (siop) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-47 d.19.1 parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-48 d.19.1.1 chan_control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-50 d.19.1.2 bit_d. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-50 d.19.1.3 half_period . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-50 d.19.1.4 bit_count. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d- 50 d.19.1.5 xfer_size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d- 50 d.19.1.6 siop_data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d- 50 d.19.2 host cpu initialization of the siop function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-51 d.19.3 siop function performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-51 d.19.3.1 xfer_size greater than 16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-52 d.19.3.2 data positioning. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d -52 d.19.3.3 data timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d-52 appendix e clock and board guidelines e.1 introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-1 e.2 MPC555 / mpc556 family power distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-2 e.3 pll and crystal oscillator external components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-4 e.3.1 crystal oscillator external components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-4 e.3.2 kapwr filtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-5 e.3.3 pll external components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e -6 e.3.4 pll off-chip capacitor c xfc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-7 e.4 clock oscillator and pll external components layout requirements. . . . . . . . . . . . . . . . . . . e-7 e.4.1 traces and placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-7 e.4.2 grounding/guarding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e-8 appendix f memory access timing f.1 introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . f-1 appendix g electrical characteristics g.1 absolute maximum ratings (v ss = 0 v) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-1 g.2 target failure rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-2 g.3 package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-2 g.4 emi characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-2 g.4.1 reference documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-2 g.4.2 definitions and acronyms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-2 g.4.3 testing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-3 g.5 thermal characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-3 g.5.1 thermal references: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-5 g.6 esd protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
paragraph number page number MPC555 / MPC555 table of contents motorola user?s manual rev. 15 october 2000 xxviii g.7 dc electrical characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-7 g.8 oscillator and pll electrical characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g -12 g.9 power up/down sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-12 g.10 flash electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-13 g.10.1 flash module life . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-14 g.10.2 programming and erase algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-15 g.11 generic timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-16 g.12 interrupt timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-39 g.13 debug port timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-40 g.14 reset timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-43 g.15 ieee 1149.1 electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-47 g.16 qadc64 electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-52 g.17 qsmcm electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-53 g.18 gpio electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-57 g.19 tpu3 electrical characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-57 g.20 toucan electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-58 g.21 mios timing characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-59 g.21.1 mpwmsm timing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-60 g.21.2 mmcsm timing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-62 g.21.3 mdasm timing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-65 g.21.4 mpiosm timing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g-68 appendix h flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only h.1 electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . h-1 h.1.1 flash module life. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . h-3 h.2 programming and erase algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . h-3 index online publishing by jabis ? , http://www.jabis.com f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
list of figures page number MPC555 / mpc556 list of figures motorola user?s manual rev. 15 october 2000 xxix figure number 1-1 MPC555 / mpc556 block diagram ................................................................ 1-2 1-2 MPC555 / mpc556 memory map ................................................................... 1-6 1-3 MPC555 / mpc556 internal memory map ...................................................... 1-7 2-1 MPC555 / mpc556 case dimensions and packaging ................................... 2-2 2-2 MPC555 / mpc556 pinout data ..................................................................... 2-3 2-3 type a interface ........................................................................................... 2-39 2-4 type b interface ........................................................................................... 2-39 2-5 type c interface ........................................................................................... 2-40 2-6 type ch interface ........................................................................................ 2-40 2-7 type cnh interface ...................................................................................... 2-41 2-8 type d interface ........................................................................................... 2-41 2-9 type e interface ........................................................................................... 2-42 2-10 3-v type eoh interface ............................................................................... 2-43 2-11 type f interface ........................................................................................... 2-44 2-12 type g interface ........................................................................................... 2-45 2-13 type h interface ........................................................................................... 2-46 2-14 type i interface ............................................................................................. 2-47 2-15 type ih interface .......................................................................................... 2-48 2-16 type j interface ............................................................................................ 2-49 2-17 type jd interface ......................................................................................... 2-50 2-18 epee pad (type k) ...................................................................................... 2-51 2-19 type l interface ............................................................................................ 2-52 2-20 type m interface ........................................................................................... 2-52 2-21 type n interface ........................................................................................... 2-53 2-22 type o interface ........................................................................................... 2-54 2-23 type p interface ........................................................................................... 2-55 2-24 type q interface ........................................................................................... 2-56 2-25 type r interface ........................................................................................... 2-56 2-26 type s interface ........................................................................................... 2-57 3-1 rcpu block diagram ..................................................................................... 3-2 3-2 sequencer data path ..................................................................................... 3-4 3-3 rcpu programming model ............................................................................ 3-8 3-4 basic instruction pipeline ............................................................................. 3-37 4-1 burst buffer block diagram ............................................................................ 4-2 4-2 example of compressed code ....................................................................... 4-5 4-3 instruction coding ........................................................................................... 4-5 4-4 two streams memory organization ? before compression ......................... 4-6 4-5 two streams memory organization ? after compression ............................ 4-6 4-6 examples of compressed symbols layout .................................................... 4-7 4-7 compressed address format ......................................................................... 4-8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxx figure number 4-8 examples of instruction layout in memory ..................................................... 4-9 4-9 generating compressed code address for powerpc direct branches ................................................................... 4-10 4-10 extracting direct branch target addr ess in the decompressor ................... 4-11 4-11 code compression process (phase a) ........................................................ 4-12 4-12 bounded huffman code tree ....................................................................... 4-13 4-13 code decompression process ..................................................................... 4-14 4-14 exception table entries mapping ................................................................. 4-19 5-1 MPC555 / mpc556 usiu block diagram ....................................................... 5-2 6-1 system configuration and protecti on logic ................................................... 6-2 6-2 MPC555 / mpc556 memory map ................................................................... 6-4 6-3 sgpio cell ..................................................................................................... 6-8 6-4 MPC555 / mpc556 interrupt structure ........................................................... 6-9 6-5 MPC555 / mpc556 interrupt configuration .................................................. 6-11 6-6 rtc block diagram ...................................................................................... 6-14 6-7 pit block diagram ........................................................................................ 6-15 6-8 swt interrupts and exceptions .................................................................... 6-16 6-9 swt block diagram ..................... ................................................................ 6-17 7-1 reset configuration basic scheme ................................................................ 7-7 7-2 reset configuration sampling scheme for ?short? poreset assertion, limp mode disabled .............................. 7-8 7-3 reset configuration timing for ?short? poreset assertion, limp mode enabled ..................................... 7-9 7-4 reset configuration timing for ?long? poreset assertion, limp mode disabled ..................................... 7-9 7-5 reset configuration sampling timing requirements ................................... 7-10 8-1 clock unit block diagram ............................................................................... 8-2 8-2 main system oscillator (oscm) ..................................................................... 8-3 8-3 system pll block diagram ............................................................................ 8-5 8-4 MPC555 / mpc556 clocks ............................................................................. 8-7 8-5 general system clocks select ..................................................................... 8-10 8-6 divided system clocks timing diagram ...................................................... 8-11 8-7 clocks timing for dfnh = 1 (or dfnl = 0) ................................................ 8-12 8-8 clock source flow chart .............................................................................. 8-14 8-9 MPC555 / mpc556 low-power modes flow diagram ................................. 8-19 8-10 basic power supply configuration ............................................................... 8-22 8-11 external power supply scheme ................................................................... 8-23 8-12 keep alive register key state diagram ....................................................... 8-25 8-13 no standby, no kapwr, all system power on/off .................................... 8-27 8-14 standby and kapwr, other power on/off ................................................. 8-28 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxi figure number 9-1 input sample window ..................................................................................... 9-2 9-2 MPC555 / mpc556 bus signals ..................................................................... 9-3 9-3 basic transfer protocol .................................................................................. 9-8 9-4 basic flow diagram of a single be at read cycle .......................................... 9-9 9-5 single beat read cycl e?basic timing?zero wait states ............................ 9-10 9-6 single beat read cycle?basic timi ng?one wait state ............................... 9-11 9-7 basic flow diagram of a single be at write cycle ........................................ 9-12 9-8 single beat basic write cycle timing , zero wait states ............................. 9-13 9-9 single beat basic write cycle timing , one wait state ................................ 9-14 9-10 single beat 32-bit data write cycle timing, 16 bit-port size ......................................................... 9-15 9-11 basic flow diagram of a burst read cycle ................................................ 9-18 9-12 burst-read cycle?32-bit port size ?zero wait state ................................... 9-19 9-13 burst-read cycle?32-bit port size ?one wait state .................................... 9-20 9-14 burst-read cycle?32-bit port size ?wait states between beats ................. 9-21 9-15 burst-read cycle, 16-bit port size .............................................................. 9-22 9-16 basic flow diagram of a burst wr ite cycle .................................................. 9-23 9-17 burst-write cycle, 32-bit port size , zero wait states .................................. 9-24 9-18 burst-inhibit cycle, 32-bit port si ze (emulated burst) ................................. 9-25 9-19 non-wrap burst with three beats ................................................................ 9-26 9-20 non-wrap burst with one data beat ............................................................ 9-27 9-21 internal operand representation ................................................................. 9-28 9-22 interface to different port size devices ...................................................... 9-29 9-23 bus arbitration flowchart ......... .................................................................... 9-31 9-24 masters signals basic connection ............................................................... 9-32 9-25 bus arbitration timing diagram .................................................................... 9-33 9-26 internal bus arbitration state machine ......................................................... 9-35 9-27 termination signals protocol basi c connection ........................................... 9-39 9-28 termination signals protocol timi ng diagram ............................................. 9-40 9-29 reservation on local bus ............................................................................ 9-41 9-30 reservation on multilevel bus hierarchy ..................................................... 9-42 9-31 retry transfer timing?internal ar biter ......................................................... 9-44 9-32 retry transfer timing?external ar biter ........................................................ 9-45 9-33 retry on burst cycle .................................................................................... 9-46 9-34 basic flow of an external master read access ........................................... 9-48 9-35 basic flow of an external master write access ........................................... 9-49 9-36 peripheral mode: external master reads from MPC555 / mpc556 ? two wait states ........................................... 9-50 9-37 peripheral mode: external master writes to MPC555 / mpc556; two wait states ........................................................................................ 9-51 9-38 flow of retry of external master read access ............................................ 9-53 9-39 retry of external master access (i nternal arbiter) ... .................................... 9-54 9-40 instruction show cycle transact ion ............................................................. 9-55 9-41 data show cycle transaction ...................................................................... 9-56 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxii figure number 10-1 memory controller function within the usiu ............................................... 10-1 10-2 memory controller block diagram ................................................................ 10-2 10-3 MPC555 / mpc556 simple system configuration ....................................... 10-3 10-4 bank base address and match structure ..................................................... 10-4 10-5 MPC555 / mpc556 gpcm?memory devices interface ............................... 10-7 10-6 memory devices interface basic timing (acs = 00,trlx = 0) ................................................................................ 10-8 10-7 peripheral devices interface ........................................................................ 10-9 10-8 peripheral devices basic timing (acs = 11,trlx = 0) ................................................................................ 10-9 10-9 relaxed timing?read access (acs = 11, scy = 1, trlx = 1) ............................................................. 10-11 10-10 relaxed timing?write access (acs = 10, scy = 0, csnt = 0, tr lx = 1) ........................................... 10-12 10-11 relaxed timing?write access (acs = 11, scy = 0, csnt = 1, tr lx = 1) ........................................... 10-13 10-12 relaxed timing?write access (acs = 00, scy = 0, csnt = 1, trlx = 1 ............................................. 10-14 10-13 consecutive accesses (write after read, ehtr = 0) ................................ 10-15 10-14 consecutive accesses (write after read, ehtr = 1) ................................ 10-16 10-15 consecutive accesses (read after read from different ban ks, ehtr = 1) .............................. 10-17 10-16 consecutive accesses (read after read from same bank, ehtr = 1) .................................... 10-18 10-17 aliasing phenomena illustration ................................................................. 10-23 10-18 synchronous external master configuration for gpcm?handled me mory devices ............................. 10-25 10-19 synchronous external master basic access (gpcm controlled) .............. 10-26 11-1 l2u bus interface block diagram ................................................................ 11-2 11-2 dmp basic functional diagram .................................................................... 11-4 11-3 region base address example .................................................................... 11-6 12-1 uimb interface module block diagram ........................................................ 12-2 12-2 imb clock ? full-speed imb bus ................................................................. 12-3 12-3 imb clock ? half-speed imb bus ................................................................. 12-3 12-4 interrupt synchronizer signal flow ............................................................... 12-4 12-5 time-multiplexing protocol for irq pins ....................................................... 12-5 12-6 interrupt synchronizer block diagram .......................................................... 12-6 13-1 qadc64 block diagram ............................................................................... 13-1 13-2 qadc64 input and output signals ............................................................... 13-3 13-3 example of external multiplexing ............................................................... 13-10 13-4 qadc64 module block diagram ................................................................ 13-12 13-5 conversion timing ...................................................................................... 13-13 13-6 bypass mode conversion timing ............................................................... 13-13 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxiii figure number 13-7 qadc64 queue operation with pause ...................................................... 13-16 13-8 qadc64 clock subsystem functions ........................................................ 13-26 13-9 qadc64 clock programmability examples ............................................... 13-28 13-10 qadc64 interrupt flow diagram ................................................................ 13-30 13-11 interrupt levels on irq with ilbs .............................................................. 13-31 13-12 qadc64 conversion queue operation ..................................................... 13-44 14-1 qsmcm block diagram ............................................................................... 14-2 14-2 qsmcm interrupt levels .............................................................................. 14-6 14-3 qspi interrupt generation ............................................................................ 14-7 14-4 qspi block diagram ................................................................................... 14-14 14-5 qspi ram .................................................................................................. 14-22 14-6 flowchart of qspi initialization operation .................................................. 14-27 14-7 flowchart of qspi master operati on (part 1) ............................................. 14-28 14-8 flowchart of qspi master operation (part 2) ............................................. 14-29 14-9 flowchart of qspi master operation (part 3) ............................................. 14-30 14-10 flowchart of qspi slave operation (part 1) ............................................... 14-31 14-11 flowchart of qspi slave operation (part 2) ............................................... 14-32 14-12 sci transmitter block diagram .................................................................. 14-42 14-13 sci receiver block diagram ...................................................................... 14-43 14-14 start search example ................................................................................. 14-56 14-15 queue transmitter block enhancements ................................................... 14-62 14-16 queue transmit flow ................................................................................. 14-64 14-17 queue transmit software flow .................................................................. 14-65 14-18 queue transmit example for 17 data bytes .............................................. 14-66 14-19 queue transmit example for 25 da ta frames ........................................... 14-67 14-20 queue receiver block enhancements ....................................................... 14-68 14-21 queue receive flow .................................................................................. 14-71 14-22 queue receive software flow ................................................................... 14-72 14-23 queue receive example for 17 data bytes ............................................... 14-73 15-1 mios1 block diagram .................................................................................. 15-5 15-2 mios1 memory map .................................................................................... 15-7 15-3 mcpsm block diagram .............................................................................. 15-12 15-4 mmcsm block diagram ............... .............................................................. 15-15 15-5 mdasm block diagram .............................................................................. 15-19 15-6 mpwmsm block diagram .......................................................................... 15-25 15-7 mpiosm one-bit block diagram ............................................................... 15-30 15-8 mios interrupt structure ............................................................................ 15-32 15-9 mios1 example: double capture pu lse width measurement ................... 15-39 15-10 mios1 example: double capture pe riod measurement ............................ 15-40 15-11 mios1 example: double edge output compare ....................................... 15-41 15-12 mios1 example: pulse width modu lation output ...................................... 15-43 16-1 toucan block diagram ............................................................................... 16-1 16-2 typical can network ................................................................................... 16-3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxiv figure number 16-3 extended id message buffer structure ........................................................ 16-4 16-4 standard id message buffer structure ......................................................... 16-4 16-5 interrupt levels on irq with ilbs ............................................................... 16-20 16-6 toucan message buffer memory map ...................................................... 16-22 17-1 tpu3 block diagram .................................................................................... 17-1 17-2 tpu3 interrupt levels ................................................................................... 17-5 17-3 tcr1 prescaler control ............................................................................... 17-7 17-4 tcr2 prescaler control ............................................................................... 17-8 18-1 dptram configuration ................................................................................ 18-2 18-2 dptram memory map ................................................................................ 18-3 19-1 cmf array and control register addressing ............................................... 19-4 19-2 shadow information .................................................................................... 19-16 19-3 program state diagram .............................................................................. 19-20 19-4 erase state diagram .................................................................................. 19-25 19-5 pulse status timing .................................................................................... 19-27 19-6 censorship states and transitions ............................................................. 19-35 19-7 epee digital filter and latch ..................................................................... 19-36 19-8 cmf_epee timing diagram ........ .............................................................. 19-37 19-9 vpp and vddl power switching ............................................................... 19-38 19-10 vpp conditioning circuit .......... .................................................................. 19-39 20-1 sram block diagram ................................................................................... 20-1 20-2 sram memory map ..................................................................................... 20-2 21-1 watchpoints and breakpoint support in the cpu ....................................... 21-10 21-2 partially supported watchpoint/br eakpoint example ................................. 21-15 21-3 instruction support general structure ........................................................ 21-17 21-4 load/store support general structure ....................................................... 21-20 21-5 functional diagram of MPC555 / mpc556 debug mode support ............. 21-23 21-6 debug mode logic ..................................................................................... 21-25 21-7 debug mode reset configuration .............................................................. 21-27 21-8 asynchronous clock serial commun ications ............................................. 21-35 21-9 synchronous self clock serial communication ......................................... 21-36 21-10 enabling clock mode following reset ....................................................... 21-37 21-11 download procedure code example ......................................................... 21-42 21-12 slow download procedure loop ................................................................ 21-42 21-13 fast download procedure loop ................................................................. 21-42 22-1 jtag pins .................................................................................................... 22-1 22-2 test logic block diagram ............................................................................. 22-2 22-3 tap controller state machine ...................................................................... 22-4 22-4 bypass register ........................................................................................... 22-6 22-5 output pin cell (o.pin) ................................................................................. 22-8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxv figure number 22-6 observe-only input pin cell (i.obs) ............................................................. 22-8 22-7 output control cell (io.ctl) ........................................................................ 22-9 22-8 general arrangement of bidirectional pin cells ........................................... 22-9 d-1 tpu3 memory map ........................................................................................d-1 d-2 pta parameters .............................................................................................d-5 d-3 qom parameters ...........................................................................................d-7 d-4 tsm parameters ? master mode ..................................................................d-9 d-5 tsm parameters ? slave mode ..................................................................d-10 d-6 fqm parameters ..........................................................................................d-12 d-7 uart transmitter parameters .....................................................................d-14 d-8 uart receiver parameters .........................................................................d-15 d-9 nitc parameters .........................................................................................d-17 d-10 comm parameters (part 1 of 2) ..................................................................d-19 d-11 comm parameters (part 2 of 2) ..................................................................d-20 d-12 halld parameters ......................................................................................d-21 d-13 mcpwm parameters ? master mode .........................................................d-23 d-14 mcpwm parameters ? slave edge-aligned mode ....................................d-24 d-15 mcpwm parameters ? slave ch a non-inverted center-aligned mode ...................................................................................d-25 d-16 mcpwm parameters ? slave ch b non-inverted center-aligned mode ...................................................................................d-26 d-17 mcpwm parameters ? slave ch a inverted center-aligned mode ...................................................................................d-27 d-18 mcpwm parameters ? slave ch b non-inverted center-aligned mode ...................................................................................d-28 d-19 fqd parameters ? primary channel ..........................................................d-30 d-20 fqd parameters ? secondary channel .....................................................d-31 d-21 ppwa parameters .......................................................................................d-33 d-22 oc parameters ............................................................................................d-35 d-23 pwm parameters .........................................................................................d-37 d-24 dio parameters ...........................................................................................d-39 d-25 spwm parameters, part 1 of 2 ....................................................................d-41 d-26 spwm parameters, part 2 of 2 ....................................................................d-42 d-27 rwtpin parameters ....................................................................................d-44 d-28 id parameters ..............................................................................................d-46 d-29 two possible siop configurations ..............................................................d-47 d-30 siop parameters .........................................................................................d-49 d-31 siop function data transition example ......................................................d-53 e-1 MPC555 / mpc556 family power distribution diagram ? 3 v ..................... e-2 e-2 MPC555 / mpc556 family power distribution diagram ? 5 v and analog .. e-3 e-3 crystal oscillator circuit ...... ........................................................................... e-4 e-4 rc filter example ........................ .................................................................. e-5 e-5 bypass capacitors ex ample (alternative) ....... ............................................... e-6 e-6 rc filter example ........................ .................................................................. e-6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxvi figure number e-7 lc filter example (alternative) ...................................................................... e-7 e-8 pll off-chip capacitor example . .................................................................. e-7 g-1 clkout timing .......................................................................................... g-16 g-2 external clock timing ................................................................................. g-23 g-3 synchronous output signals timing ........................................................... g-24 g-4 synchronous active pull-up and open drain outputs signals timing ....... g-25 g-5 synchronous input signals timing .............................................................. g-26 g-6 input data timing in normal case .............................................................. g-27 g-7 external bus read timing (gpcm co ntrolled ? acs = ?00?) .................... g-28 g-8 external bus read timing (gpcm controlled ? trlx = ?0? acs = ?10?) .. g-29 g-9 external bus read timing (gpcm controlled ? trlx = ?0? acs = ?11?) .. g-30 g-10 external bus read timing (gpcm controlled ? trlx = ?1?, acs = ?10?, acs = ?11?) ......................... g-31 g-11 address show cycle bus timing ................................................................ g-32 g-12 address and data show cycle bu s timing ................................................. g-33 g-13 external bus write timing (gpcm cont rolled ? trlx = ?0?, csnt = ?0?) . g-34 g-14 external bus write timing (gpcm controlled ? trlx = ?0?, csnt = ?1?) . g-35 g-15 external bus write timing (gpcm controlled ? trlx = ?1?, csnt = ?1?) . g-36 g-16 external master read from intern al registers timing ................................ g-37 g-17 external master write to internal registers timing ..................................... g-38 g-18 interrupt detection timing for extern al level sensitive lines ..................... g-39 g-19 interrupt detection timing for external edge sensitive lines ..................... g-40 g-20 debug port clock input timing ................................................................... g-41 g-21 debug port timings ..................................................................................... g-42 g-22 reset timing ? configuration from data bus ............................................ g-44 g-23 reset timing ? data bus weak driv e during configuration ..................... g-45 g-24 reset timing ? debug port conf iguration ................................................. g-46 g-25 jtag test clock input timing .................................................................... g-48 g-26 jtag ? test access port timing diagram ................................................ g-49 g-27 jtag ? trst timing diagram .................................................................. g-50 g-28 boundary scan (jta g) timing diagram ..................................................... g-51 g-29 qspi timing ? master, cpha = 0 ............................................................. g-55 g-30 qspi timing ? master, cpha = 1 ............................................................. g-55 g-31 qspi timing ? slave, cpha = 0 ............................................................... g-56 g-32 qspi timing ? slave, cpha = 1 ............................................................... g-56 g-33 tpu3 timing ............................................................................................... g-58 g-34 mcpsm enable to vs_pclk pulse ti ming diagram ..................................... g-59 g-35 mpwmsm minimum output pulse ex ample timing diagram .................... g-60 g-36 mcpsm enable to mpwmo output pin rising edge timing diagram ....................................................................... g-61 g-37 mpwmsm enable to mpwmo output pin rising edge timing diagram ....................................................................... g-61 g-38 mpwmsm interrupt flag to mpwmo output pin falling edge timing diagram ........................................................................................... g-62 g-39 mmcsm minimum input pin (either load or clock) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxvii figure number timing diagram ........................................................................................... g-63 g-40 mmcsm clock pin to counter bus increment timing diagram ........................................................................................... g-63 g-41 mmcsm load pin to counter bus re load timing diagram ....................... g-63 g-42 mmcsm counter bus reload to interrupt flag setting timing diagram ........ ............................................................... g-64 g-43 mmcsm prescaler clock select to counter bus increment timing diagram ........................................................................................... g-64 g-44 mdasm minimum input pin timing diagram .............................................. g-65 g-45 mdasm input pin to counter bus capture timing diagram ........................................................................................... g-66 g-46 mdasm input pin to mdasm interrupt flag timing diagram ........................................................................................... g-66 g-47 mdasm minimum output pulse width timing diagram ........................................................................................... g-66 g-48 counter bus to mdasm output pin change timing diagram ........................................................................................... g-67 g-49 counter bus to mdasm interrupt flag setting timing diagram ........................................................................................... g-67 g-50 mpiosm input pin to mpiosm_dr (data register) timing diagram ........................................................................................... g-68 h-1 typical program time vs. v pp and temperature (for cdr1 ?target? process) ..........................................................................h-2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
page number MPC555 / MPC555 list of figures motorola user?s manual rev. 15 october 2000 xxxviii figure number f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
list of tables page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xxxix table number 2-1 MPC555 / mpc556 pin functions for 272-pin pbga ........................................... 2-4 2-2 pin functionality table .......................................................................................... 2-7 2-3 pdmcr bit descriptions..................................................................................... 2-29 2-4 pin reset state.................................................................................................... 2-32 2-5 pad groups based on 3-v / 5-v select ............................................................... 2-57 2-6 pin names and abbreviations ............................................................................. 2-58 3-1 rcpu execution units........................................................................................... 3-5 3-2 supervisor-level sprs.......................................................................................... 3-9 3-3 development support sprs................................................................................ 3-11 3-4 fpscr bit categories ......................................................................................... 3-13 3-5 fpscr bit descriptions....................................................................................... 3-14 3-6 floating-point result flags in fpscr................................................................. 3-15 3-7 bit descriptions for cr0 field of cr ................................................................... 3-16 3-8 bit descriptions for cr1 field of cr ................................................................... 3-17 3-9 cr n field bit descriptions for compare instructions .......................................... 3-17 3-10 integer exception register bit de finitions ......................................................... 3-18 3-11 time base field definitions (r ead only)........................................................... 3-19 3-12 machine state register bit descr iptions ........................................................... 3-21 3-13 floating-point exception mode bits................................................................... 3-22 3-14 time base field definitions (write only)........................................................... 3-23 3-15 uses of sprg0?sprg3 ................................................................................... 3-25 3-16 processor version register bit descriptions ..................................................... 3-26 3-17 eie, eid, and nri registers ............................................................................ 3-26 3-18 fpecr bit descriptions..................................................................................... 3-27 3-19 instruction set summary ................................................................................... 3-29 3-20 MPC555 / mpc556 exception classes ............................................................. 3-34 3-21 exception vector offset table .......................................................................... 3-36 3-22 instruction latency and blockage...................................................................... 3-38 3-23 floating-point exception mode encoding.......................................................... 3-43 4-1 exception addresses mapping by bbc ............................................................... 4-18 4-2 region base address registers rba[0:1] .......................................................... 4-20 4-3 region attributes registers ................................................................................. 4-20 4-4 bbc module configuration register.................................................................... 4-20 4-5 mi_rba[0:3] bit descriptions ............................................................................. 4-21 4-6 mi_ra[0:3] registers bits descr iption................................................................ 4-22 4-7 mi_gra bit descriptions .................................................................................... 4-23 4-8 bbcmcr bit descriptions .................................................................................. 4-24 5-1 usiu address map ................................................................................................ 5-3 5-2 usiu special-purpose registers........................................................................... 5-6 5-3 powerpc address range...................................................................................... 5-6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xl 6-1 usiu pins multiplexing control.............................................................................. 6-3 6-2 sgpio configuration ............................................................................................. 6-7 6-3 priority of interrupt sources ................................................................................. 6-12 6-4 decrementer time-out periods........................................................................... 6-13 6-5 siumcr bit descriptions.................................................................................... 6-19 6-6 debug pins configuration........... ......................................................................... 6-20 6-7 debug port pins configuration ............................................................................ 6-20 6-8 general pins configuration.................................................................................. 6-20 6-9 single-chip select field pin conf iguration.......................................................... 6-20 6-10 multi-level reservation control pi n configuration ............................................ 6-21 6-11 immr bit descriptions ...................................................................................... 6-22 6-12 emcr bit descriptions ..................................................................................... 6-23 6-13 sypcr bit descriptions ................................................................................... 6-26 6-14 swsr bit descriptions ..................................................................................... 6-27 6-15 tesr bit descriptions ...................................................................................... 6-28 6-16 tbscr bit descriptions.................................................................................... 6-30 6-17 rtcsc bit descriptions ................................................................................... 6-31 6-18 piscr bit descriptions..................................................................................... 6-32 6-19 pitc bit descriptions........................................................................................ 6-33 6-20 pit bit descriptions .......................................................................................... 6-33 6-21 sgpiodt1 bit descriptions..... ......................................................................... 6-34 6-22 sgpiodt2 bit descriptions..... ......................................................................... 6-35 6-23 sgpiocr bit descriptions ............................................................................... 6-35 6-24 data direction control ....................................................................................... 6-36 7-1 reset action taken for each reset cause .......................................................... 7-4 7-2 reset configuration word and data corruption/coherency.................................. 7-4 7-3 reset status register bit descriptions.................................................................. 7-5 7-4 reset configuration options.................................................................................. 7-7 7-5 hard reset configuration word bit descriptions................................................ 7-11 8-1 reset clocks source configuration....................................................................... 8-9 8-2 tmbclk divisions................................................................................................. 8-9 8-3 status of clock source ........................................................................................ 8-15 8-4 power mode control bit descriptions ................................................................. 8-16 8-5 power mode descriptions................................................................................... 8-16 8-6 power mode wake-up operation....................................................................... 8-17 8-7 clock unit power supply ..................................................................................... 8-20 8-8 kapwr registers and key registers ................................................................. 8-24 8-9 sccr bit descriptions........................................................................................ 8-30 8-10 plprcr bit descriptions ................................................................................. 8-34 8-11 colir bit descriptions..................................................................................... 8-36 8-12 vsrmcr bit descriptions ................................................................................ 8-36 9-1 MPC555 / mpc556 siu signals ............................................................................ 9-4 9-2 data bus requirements for read cycles........................................................... 9-30 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xli 9-3 data bus contents for write cycles .................................................................... 9-30 9-4 priority between internal and external masters over external bus ..................... 9-34 9-5 burst length and order ....................................................................................... 9-36 9-6 burst/tsize encoding ..................................................................................... 9-37 9-7 address type pins............................................................................................... 9-37 9-8 address types definition..................................................................................... 9-38 9-9 termination signals protocol............................................................................... 9-47 10-1 timing attributes summary ............................................................................... 10-6 10-2 programming rules for strobes timing........................................................... 10-19 10-3 boot bank fields values after hard reset...................................................... 10-20 10-4 write enable/byte enable signals function .................................................... 10-21 10-5 memory controller address map ..................................................................... 10-27 10-6 mstat bit descriptions.................................................................................. 10-28 10-7 br0 ? br3 bit descriptions..... ....................................................................... 10-29 10-8 or0 ? or3 bit descriptions .... ....................................................................... 10-30 10-9 dmbr bit descriptions ................................................................................... 10-32 10-10 dmor bit descriptions........... ....................................................................... 10-33 11-1 dmpu registers ................................................................................................ 11-6 11-2 reservation snoop support............................................................................... 11-9 11-3 l2u_mcr lshow modes ................................................................................ 11-9 11-4 l2u show cycle support chart ....................................................................... 11-12 11-5 l2u (ppc) register decode............................................................................ 11-12 11-6 hex address for spr cycles.......................................................................... 11-13 11-7 l2u_mcr bit descriptions ............................................................................. 11-14 11-8 l2u_rbax bit descriptions ............................................................................ 11-14 11-9 l2u_rax bit descriptions .............................................................................. 11-15 11-10 l2u_gra bit descriptions ........................................................................... 11-16 12-1 stop and hspeed bit functionality................................................................ 12-2 12-2 bus cycles and system clock cycles ............................................................... 12-3 12-3 ilbs signal functionality .................................................................................... 12-5 12-4 irqmux functionality ....................................................................................... 12-5 12-5 uimb interface register map ............................................................................ 12-7 12-6 umcr bit descriptions ..................................................................................... 12-8 12-7 uipend bit descriptions ................................................................................... 12-9 13-1 multiplexed analog input channels ................................................................... 13-5 13-2 analog input channels .................................................................................... 13-11 13-3 queue 1 priority assertion............................................................................... 13-15 13-4 qadc64 clock programmability ..................................................................... 13-28 13-5 qadc64 status flags and interrupt sources.................................................. 13-30 13-6 qadc64 address map .................................................................................... 13-32 13-7 qadc64mcr bit descriptions ....................................................................... 13-33 13-8 qadc64int bit descriptions.......................................................................... 13-34 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xlii 13-9 portqa, portqb bit descriptions ............................................................. 13-34 13-10 ddrqa bit descriptions............................................................................... 13-35 13-11 qacr0 bit descriptions ............................................................................... 13-36 13-12 qacr1 bit descriptions ............................................................................... 13-37 13-13 queue 1 operating modes ............................................................................ 13-38 13-14 qacr2 bit descriptions ............................................................................... 13-39 13-15 queue 2 operating modes ............................................................................ 13-40 13-16 qasr0 bit descriptions................................................................................ 13-41 13-17 queue status................................................................................................. 13-42 13-18 qasr0 bit descriptions................................................................................ 13-43 13-19 ccw bit descriptions ................................................................................... 13-47 13-20 non-multiplexed channel assignments and pin designations...................... 13-48 13-21 multiplexed channel assignments and pin designations.............................. 13-48 14-1 qsmcm register map....................................................................................... 14-3 14-2 qsmcm global registers ................................................................................. 14-5 14-3 interrupt levels .................................................................................................. 14-6 14-4 qsmcmmcr bit descriptions........................................................................... 14-8 14-5 qdsci_il bit descriptions ................................................................................ 14-8 14-6 qspi_il bit descriptions ................................................................................... 14-9 14-7 qsmcm pin control registers .......................................................................... 14-9 14-8 effect of ddrqs on qspi pin function .......................................................... 14-10 14-9 qsmcm pin functions .................................................................................... 14-11 14-10 pqspar bit descriptions.............................................................................. 14-12 14-11 ddrqs bit descriptions................................................................................ 14-13 14-12 qspi register map........................................................................................ 14-16 14-13 spcr0 bit descriptions................................................................................ 14-17 14-14 bits per transfer............................................................................................ 14-17 14-15 spcr1 bit descriptions................................................................................ 14-18 14-16 spcr2 bit descriptions................................................................................ 14-19 14-17 spcr3 bit descriptions................................................................................ 14-20 14-18 spsr bit descriptions .................................................................................. 14-21 14-19 command ram bit descriptions .................................................................. 14-23 14-20 qspi pin functions ....................................................................................... 14-24 14-21 example sck frequencies with a 40-mhz imb clock .................................. 14-35 14-22 sci registers................................................................................................. 14-44 14-23 sccxr0 bit descriptions.............................................................................. 14-45 14-24 sccxr1 bit descriptions.............................................................................. 14-46 14-25 scxsr bit descriptions ................................................................................ 14-48 14-26 scxsr bit descriptions ................................................................................ 14-50 14-27 sci pin functions .......................................................................................... 14-50 14-28 serial frame formats .................................................................................... 14-51 14-29 examples of scix baud rates ...................................................................... 14-52 14-30 qsci1cr bit descriptions............................................................................ 14-60 14-31 qsci1sr bit descriptions ............................................................................ 14-61 15-1 mios1 i/o ports ................................................................................................ 15-8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xliii 15-2 mbism address map......................................................................................... 15-8 15-3 mios1tpcr bit descriptions............................................................................ 15-9 15-4 mios1vnr bit descriptions .............................................................................. 15-9 15-5 mios1mcr bit descriptions ........................................................................... 15-10 15-6 mbism interrupt registers address map ........................................................ 15-10 15-7 mios1lvl0 bit descriptions ........................................................................... 15-11 15-8 mios1lvl1 bit descriptions ........................................................................... 15-11 15-9 mcpsm address map ..................................................................................... 15-13 15-10 mcpsmscr bit descriptions...... .................................................................. 15-13 15-11 mmcsm address map................................................................................... 15-15 15-12 mmcsmcnt bit descriptions ....................................................................... 15-16 15-13 mmcsmml bit descriptions.......................................................................... 15-16 15-14 mmcsmscr bit descriptions ....................................................................... 15-17 15-15 mmcsmcr cp and mpwmsmscr cp values.......................................................................... 15-18 15-16 mdasm address map ................................................................................... 15-20 15-17 mdasmscr bit descriptions...... .................................................................. 15-23 15-18 mdasm mode selects................................................................................... 15-24 15-19 mpwmsm address map ............................................................................... 15-26 15-20 mpwmsmperr bit descriptions.................................................................. 15-27 15-21 mpwmsmpulr bit descriptions .................................................................. 15-27 15-22 mpwmsmcntr bit descriptions .................................................................. 15-28 15-23 mpwmsmscr bit descriptions .................................................................... 15-29 15-24 pwmsm output pin polarity selection.......................................................... 15-29 15-25 mpiosm address map .................................................................................. 15-30 15-26 mpiosmdr bit descriptions ......................................................................... 15-31 15-27 mpiosmddr bit descriptions ...................................................................... 15-31 15-28 mirsm0 address map................................................................................... 15-34 15-29 mios1sr0 bit descriptions........................................................................... 15-34 15-30 mios1er0 bit descriptions........................................................................... 15-35 15-31 mios1rpr0 bit descriptions ...... .................................................................. 15-36 15-32 mirsm1 address map................................................................................... 15-36 15-33 mios1sr1 bit descriptions........................................................................... 15-37 15-34 mios1er1 bit descriptions........................................................................... 15-37 15-35 mios1rpr1 bit descriptions ...... .................................................................. 15-38 15-36 mios1 configuration ..................................................................................... 15-44 16-1 common extended/standard forma t frames................................................... 16-5 16-2 message buffer codes for receive buffers....................................................... 16-5 16-3 message buffer codes for transmit buffers...................................................... 16-5 16-4 extended format frames .................................................................................. 16-6 16-5 standard format frames................................................................................... 16-6 16-6 receive mask register bit values..................................................................... 16-8 16-7 mask examples for normal/extended messages .............................................. 16-8 16-8 example imb clock, can bit rate and s-clock frequencies........................... 16-9 16-9 interrupt levels ................................................................................................ 16-19 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xliv 16-10 toucan register map................................................................................... 16-21 16-11 tcnmcr bit descriptions ............................................................................ 16-23 16-12 canicr bit descriptions .............................................................................. 16-25 16-13 canctrl0 bit descriptions......................................................................... 16-25 16-14 rx mode[1:0] configuration......................................................................... 16-26 16-15 transmit pin configuration ............................................................................ 16-26 16-16 canctrl1 bit descriptions.......................................................................... 16-27 16-17 presdiv bit descriptions ............................................................................ 16-28 16-18 canctrl2 bit descriptions......................................................................... 16-28 16-19 timer bit descriptions................................................................................. 16-29 16-20 rxgmskhi, rxgmsklo bit descr iptions .................................................. 16-30 16-21 estat bit descriptions ................................................................................ 16-31 16-22 transmit bit error status ............................................................................... 16-32 16-23 fault confinement state encoding ................................................................ 16-32 16-24 imask bit descriptions................................................................................. 16-32 16-25 iflag bit descriptions ................................................................................. 16-33 16-26 rxectr, txectr bit descripti ons............................................................. 16-33 17-1 enhanced tcr1 prescaler divide values ........................................................ 17-6 17-2 tcr1 prescaler values ..................................................................................... 17-6 17-3 tcr2 counter clock source ............................................................................. 17-7 17-4 tcr2 prescaler control..................................................................................... 17-8 17-5 tpu3 register map ........................................................................................... 17-9 17-6 tpumcr bit descriptions .............................................................................. 17-11 17-7 dscr bit descriptions.................................................................................... 17-13 17-8 dssr bit descriptions............. ....................................................................... 17-14 17-9 ticr bit descriptions ..................................................................................... 17-15 17-10 cier bit descriptions ................................................................................... 17-15 17-11 cfsrx bit descriptions ................................................................................ 17-16 17-12 hsqrx bit descriptions................................................................................ 17-17 17-13 hssrx bit descriptions ................................................................................ 17-18 17-14 cprx bit descriptions .................................................................................. 17-18 17-15 channel priorities .......................................................................................... 17-19 17-16 cisr bit descriptions ................................................................................... 17-19 17-17 tpumcr2 bit descriptions .......................................................................... 17-20 17-18 entry table bank location............................................................................. 17-21 17-19 imb clock frequency/minimum guaran teed detected pulse ....................... 17-21 17-20 tpumcr3 bit descriptions .......................................................................... 17-21 17-21 parameter ram address offset map ............................................................ 17-22 18-1 dptram register map ..................................................................................... 18-3 18-2 dptmcr bit descriptions ................................................................................ 18-4 18-3 rambar bit descriptions ................................................................................ 18-5 19-1 cmf register programmer?s model .................................................................. 19-5 19-2 cmfmcr bit descriptions................................................................................. 19-6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xlv 19-3 cmftst bit descriptions .................................................................................. 19-8 19-4 cmf programming algorithm (v6 and later)..................................................... 19-8 19-5 cmf erase algorithm (v6) ................................................................................. 19-9 19-6 cmfctl bit descriptions ................................................................................ 19-10 19-7 eeprom array addressing............................................................................. 19-12 19-8 cmf eeprom array address fi elds .............................................................. 19-12 19-9 program interlock state descriptions .............................................................. 19-21 19-10 results of programming margin read........................................................... 19-22 19-11 erase interlock state descripti ons................................................................. 19-26 19-12 system clock range ..................................................................................... 19-28 19-13 clock period exponent and pulse width range ........................................... 19-29 19-14 censorship control bits ................................................................................. 19-31 19-15 levels of censorship ..................................................................................... 19-32 19-16 cmf eeprom devices modes and censorship status ............................... 19-33 19-17 nvm fuse states........................................................................................... 19-34 20-1 srammcr bit descriptions ............................................................................. 20-3 21-1 vf pins instruction encodings.......................................................................... 21-3 21-2 vf pins queue flush encodings....................................................................... 21-4 21-3 vfls pin encodings.......................................................................................... 21-4 21-4 detecting the trace buffer start point ............................................................... 21-7 21-5 fetch show cycles control ............................................................................... 21-8 21-6 instruction watchpoints programming options ............................................... 21-17 21-7 load/store data events................................................................................... 21-18 21-8 load/store watchpoints programming options .............................................. 21-19 21-9 the check stop state and debug mode ......................................................... 21-29 21-10 trap enable data shifted into deve lopment port shift register ................... 21-38 21-11 debug port command shifted into development port shift register ........... 21-38 21-12 status / data shifted out of development port shift register....................... 21-39 21-13 debug instructions / data shifted in to development port shift register....... 21-40 21-14 development support programmin g model................................................... 21-44 21-15 development support registers re ad access protection ............................ 21-45 21-16 development support registers wr ite access protection............................. 21-45 21-17 cmpa-cmpd bit de scriptions....................................................................... 21-45 21-18 cmpe-cmpf bit de scriptions ....................................................................... 21-46 21-19 bar bit descriptions ..................................................................................... 21-46 21-20 cmpg-cmph bit descriptions .... .................................................................. 21-46 21-21 ictrl bit descriptions ........... ....................................................................... 21-48 21-22 isct_ser bit descriptions ........................................................................... 21-49 21-23 lctrl1 bit descriptions ............................................................................... 21-50 21-24 lctrl2 bit descriptions ............................................................................... 21-51 21-25 breakpoint counter a value and control register (counta)...................... 21-52 21-26 breakpoint counter b value and control register (countb)..................... 21-53 21-27 ecr bit descriptions ..................................................................................... 21-54 21-28 der bit descriptions ..................................................................................... 21-55 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xlvi 22-1 jtag interface pin descriptions........................................................................ 22-3 22-2 instruction decoding .......................................................................................... 22-5 22-3 boundary scan bit definition ........................................................................... 22-10 a-1 spr (special purpose registers) ......................................................................... a-2 a-2 cmf (cdr monet flash eeprom) flash array ................................................. a-4 a-3 usiu (unified system interface unit).................................................................... a-5 a-4 cmf (cdr monet flash eeprom)..................................................................... a-8 a-5 dptram (dual-port tpu ram) ...........................................................................a-9 a-6 dptram array...................................................................................................... a-9 a-7 tpu3 (time processor unit) ............................................................................... a-10 a-8 qadc64 (queued analog-to-digital converter) ................................................. a-13 a-9 qsmcm (queued serial multi-channel module) ................................................ a-15 a-10 mios1 (modular input/output subsystem) ....................................................... a-16 a-11 toucan (can 2.0b controller).........................................................................a-22 a-12 uimb (u-bus to imb3 bus interface) ................................................................a-25 a-13 sram (static ram access memory) ................................................................a-25 a-14 sram (static ram access memory) array ...................................................... a-25 d-1 bank 0 functions ..................................................................................................d-2 d-2 bank 1 functions ..................................................................................................d-3 d-3 qom bit encoding .......................... ......................................................................d-6 d-4 siop function valid chan_control options......................................................d-50 d-5 siop state timing ..............................................................................................d-52 e-1 external components value for different crystals (q1) ......................................e-4 f-1 memory access times using different buses ...................................................... f-1 f-2 timing examples ................................................................................................... f-2 g-1 absolute maximum ratings ................................................................................. g-1 g-2 thermal characteristics ............. .......................................................................... g-3 g-3 esd protection .................................................................................................... g-6 g-4 dc electrical characteristics ..... .......................................................................... g-7 g-5 oscillator and pll.............................................................................................. g-12 g-6 program and erase c haracteristics ................................................................... g-13 g-7 cmf ac and dc power supply char acteristics ................................................ g-14 g-8 flash module life............................ ................................................................... g-14 g-9 cmf programming algorithm (v6 and later) ..................................................... g-15 g-10 cmf erase algorithm (v6) ............................................................................... g-15 g-11 bus operation timing ...................................................................................... g-17 g-12 interrupt timing........................ ........................................................................ g-39 g-13 debug port timing ............................................................................................ g-40 g-14 reset timing ................................................................................................. g-43 g-15 jtag timing .................................................................................................... g-47 g-16 qadc64 conversion characteristi cs (operating) ........................................... g-52 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xlvii g-17 qspi timing..................................................................................................... g-53 g-18 gpio timing .................................................................................................... g-57 g-19 tpu3 timing .................................................................................................... g-57 g-20 toucan timing ............................ ................................................................... g-58 g-21 mcpsm timing characteristics ....................................................................... g-59 g-22 mpwmsm timing characteristic s ................................................................... g-60 g-23 mmcsm timing characteristics ...................................................................... g-62 g-24 mdasm timing characteristics ....................................................................... g-65 g-25 mpiosm timing characteristics ...................................................................... g-68 h-1 program and erase ch aracteristics ......................................................................h-1 h-2 cmf ac and dc power supply char acteristics ...................................................h-2 h-3 flash module life..................................................................................................h-3 h-4 cmf programming algorithm (v5) ........................................................................h-3 h-5 cmf erase algorithm (v5).....................................................................................h-3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
table number page number MPC555 / mpc556 list of tables motorola user?s manual rev. 15 october 2000 xlviii f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 preface motorola user?s manual rev. 15 october 2000 xlix preface this manual defines the functionality of the MPC555 / mpc556 for use by software and hardware developers. the MPC555 / mpc556 is based on the powerpc proces- sor used in the motorola mpc500 family of microcontrollers. for further information refer to the mpc500 family rcpu reference manual , rcpurm/ad (motorola order number). boxed sections appear throughout this manual. these boxes designate optional fea- tures that are only available on the mpc556 . audience this manual is intended for system software and hardware developers and applica- tions programmers who want to develop products for the MPC555 / mpc556. it is assumed that the reader understands op erating systems, microprocessor and micro- controller system design, and the basi c principles of risc processing. additional reading for additional reading that provides background to or supplements the information in this manual see:  john l. hennessy and david a. patterson, computer architecture: a quantitative approach , morgan kaufmann publishers, inc., san mateo, ca  powerpc microprocessor family: the programming environments , mpcf- pe/ad (motorola order number)  mpc500 family rcpu reference manual , rcpurm/ad (motorola order num- ber) conventions this document uses the following notational conventions: active_high names for signals that are active high are shown in uppercase text without an overbar. signals that are active high are referred to as asserted when they are high and negated when they are low. active_low a bar over a signal name indicates that the signal is active low. active-low signals are referred to as asserted (active) when they are low and negated when they are high. 0x0f hexadecimal numbers 0b0011 binary numbers reg[field] abbreviations or acronyms for registers are shown in uppercase text. specific bit fields or ranges are shown in brackets. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 preface motorola user?s manual rev. 15 october 2000 l x in certain contexts, such as a signal encoding, this indicates a don?t care. for example, if a field is binary encoded 0bx001, the state of the first bit is a don?t care. note: throughout this manual references to 3 v refer to the nominal supply voltage of 3.3 volts. nomenclature logic level one is the voltage that corresponds to boolean true (1) state. logic level zero is the voltage that corresponds to boolean false (0) state. to set a bit or bits means to establish logic level one on the bit or bits. to clear a bit or bits means to establish logic level zero on the bit or bits. a signal that is asserted is in its active logic state. an active low signal changes from logic level one to logic level zero when asserted, and an active high signal changes from logic level zero to logic level one. a signal that is negated is in its inactive logic state. an active low signal changes from logic level zero to logic level one when negated, and an active high signal changes from logic level one to logic level zero. lsb means least significant bit or bits. msb means most significant bit or bits. ref- erences to low and high bytes are spelled out. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-1 section 1 overview the MPC555 / mpc556 is a member of motorola?s mpc500 powerpc tm risc micro- controller family. the MPC555 / mpc556 offers the following features:  powerpc core with floating-point unit  26 kbytes fast ram and 6 kbytes tpu microcode ram  448 kbytes flash eeprom with 5-v programming  5-v i/o system  serial system: queued serial multi-ch annel module (qsmcm), dual can 2.0b controller modules (toucan tm )  50-channel timer system: dual time pr ocessor units (tpu3), modular i/o system (mios1)  32 analog inputs: dual queued analog-to-digital converters (qadc64)  submicron hcmos (cdr1) technology  272-pin plastic ball grid array (pbga) packaging  40-mhz operation, -40 c to 125 c with dual supply (3.3 v, 5 v)  mpc556 supports code compression to increase code density. note throughout this manual references to 3 v refer to the nominal supply voltage of 3.3 v.) 1.1 block diagram figure 1-1 is a block diagram of the MPC555 / mpc556. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-2 figure 1-1 MPC555 / mpc556 block diagram 1.2 MPC555 / mpc556 features features of each module on the MPC555 / mpc556 are listed below. 1.2.1 risc mcu central processing unit (rcpu)  32-bit powerpc architecture (complia nt with powerpc architecture book 1)  core performance measured at 52.7 kmips (dhrystone 2.1) @ 40 mhz. note this assumes the rcpu core is running in ?normal? mode and show cycles is turned off (isct_ser of th e ictrl register is set to 111). see table 21-21 .  fully static, low power operation  integrated floating-point unit  precise exception model  extensive system development support ? on-chip watchpoints and breakpoints ? program flow tracking usiu rcpu burst interface 256 kbytes flash 192 kbytes flash 16 kbytes sram 10 kbytes sram l2u e-bus uimb qadc qadc qsmcm toucan tpu3 dptram tpu3 toucan mios1 l-bus imb3 u-bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-3 ? on-chip emulation (once tm ) development interface 1.2.2 four-bank memory controller  works with sram, eprom, flash eeprom, and other peripherals  byte write enables  32-bit address decodes with bit masks  memory transfer start (mts): this pin is the transfer start signal to access a slave?s external memory by an external bus master 1.2.3 u-bus system interface unit (usiu)  clock synthesizer  power management  reset controller  powerpc decrementer and time base  glueless interface to srams and burstable flashs  real-time clock register  periodic interrupt timer  hardware bus monitor and software watchdog timer  interrupt controller that supports up to eight external and eight internal interrupts  ieee 1149.1 jtag test access port  external bus interface ? 24 address pins, 32 data pins ? supports multiple master designs ? four-beat transfer bursts, two-clock minimum bus transactions ? tolerates 5-v inputs, provides 3.3-v outputs 1.2.4 flexible memory protection unit  four instruction regions and four data regions  4-kbyte to 16-mbyte region size support  default attributes available in one global entry  attribute support for speculative accesses 1.2.5 448 kbytes of cdr monet flash eeprom memory (cmf)  one 256-kbyte and one 192-kbyte module  page read mode  block (32-kbyte) erasable  external 4.75-v to 5.25-v program and erase power supply 1.2.6 26 kbytes of static ram  one 16-kbyte and one 10-kbyte module  fast (one-clock) access  keep-alive power  soft defect detection (sdd) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-4 1.2.7 general-purpose i/o support  address (24) and data (32) pins can be used for general-purpose i/o in single- chip mode  9 general-purpose i/o pins in mios1 unit  many peripheral pins can be used for general-purpose i/o when not used for pri- mary function  5-v outputs 1.2.8 two time processor units (tpu3)  each tpu3 module provides these features: ? a dedicated micro-engine operates independently of the rcpu ? 16 independent programmable channels and pins ? each channel has an event register consisting of a 16-bit capture register, a 16-bit compare register and a 16-bit comparator ? nine pre-programmed timer functions are available ? any channel can perform any time function ? each timer function can be assigned to more than one channel ? two timer count registers with programmable prescalers ? each channel can be synchronized to one or both counters ? selectable channel priority levels ? 5-v outputs  6-kbyte dual port tpu ram (dptram) is shared by the two tpu3 modules for tpu microcode 1.2.9 18-channel modular i/o system (mios1)  ten double action submodules (dasms)  eight dedicated pwm sub-modules (pwmsms)  two 16-bit modulus counter submodules (mcsms)  two parallel port i/o submodules (piosm)  5-v outputs 1.2.10 two queued analog-to-digital converter modules (qadc) each qadc provides:  up to 16 analog input channels, using internal multiplexing  up to 41 total input channels, using internal and external multiplexing  10-bit a/d converter with internal sample/hold  typical conversion time of 10 sec (100,000 samples per second)  two conversion command queues of variable length  automated queue modes initiated by: ? external edge trigger/level gate ? software command  64 result registers  output data that is right- or left-justified, signed or unsigned f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-5 1.2.11 two can 2.0b controller modules (toucans) each toucan provides these features:  full implementation of can protocol specification, version 2.0 a and b  each module has 16 receive/transmit message buffers of 0 to 8 bytes data length  global mask register for message buffers 0 to 13  independent mask registers for message buffers 14 and 15  programmable transmit-first scheme: lowest id or lowest buffer number  16-bit free-running timer for message time-stamping  low power sleep mode with programmable wake-up on bus activity  programmable i/o modes  maskable interrupts  independent of the transmission medium (external transceiver is assumed)  open network architecture  multimaster concept  high immunity to emi  short latency time for high-priority messages  low power sleep mode with programmable wakeup on bus activity 1.2.12 queued serial multi-channel module (qsmcm)  queued serial peripheral interface (qspi) ? provides full-duplex communication port for peripheral expansion or interpro- cessor communication ? up to 32 preprogrammed transfers, reducing overhead ? has 160-byte queue ? programmable transfer length: from eight to 16 bits, inclusive ? synchronous interface with baud rate of up to system clock / 4 ? four programmable peripheral-select pins support up to 16 devices  wrap-around mode allows continuous sampling of a serial peripheral for effi- cient interfacing to serial a/d converters  two serial communications interfaces (sci). each sci offers these features: ? uart mode provides nrz format and half- or full-duplex interface ? 16 register receive buffer and 16 register transmit buffer (sci1) ? advanced error detection and optional parity generation and detection ? word length programmable as eight or nine bits ? separate transmitter and receiver enable bits and double buffering of data ? wakeup functions allow the cpu to run uninterrupted until either a true idle line is detected or a new address byte is received ? external source clock for baud generation ? multiplexing of transmit data pins with discrete outputs and receive data pins with discrete inputs 1.3 MPC555 / mpc556 address map the internal memory map is organized as a single 4-mbyte block. the user can assign this block to one of eight locations by programming a register in the usiu. the eight possible locations are the first eight 4- mbyte memory blocks starting with address f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-6 0x0000 0000. (refer to figure 1-2 ). the programmability of the internal memory map location allows the user to implement a multiple-chip system. figure 1-2 MPC555 / mpc556 memory map the internal memory space is divided into the following sections:  flash memory (448 kbytes)  static ram memory (26 kbytes)  control registers and imb2 modules (64 kbytes): ? usiu and flash control registers ? uimb interface and imb2 modules ? sram control registers 0x0000 0000 0x003f ffff 0x0040 0000 0x007f ffff 0x0080 0000 0x00bf ffff 0x00c0 0000 0x00ff ffff 0x0100 0000 0x013f ffff 0x0140 0000 0x017f ffff 0x0180 0000 0x01bf ffff 0x01c0 0000 0x01ff ffff 0xffff ffff internal 4-mbyte memory block (resides in one of eight locations) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-7 figure 1-3 MPC555 / mpc556 internal memory map 0x30 7 0x2f 0x30 0000 usiu & flash 16 kbytes 0x38 0000 (10 kbytes) 0x3f usiu control regi sters flash module a (64 bytes) flash module b (64 bytes) kbytes 0x2f c 000 (2.6 mbytes - 16 kbytes) ? 0x2f 0x30 8000 0x37 ffff (480 kbytes) sr a m c on t r ol a ( 8 bytes) 0x3f 9800 (485.98 kbytes) 0x38 0010 res erved for siu reserved 2f c880 1 bfff ffff fff ffff 0x 0x2f c000 0x30 0000 0x30 7f80 0x30 7fff 0x30 7080 0x30 7480 0x30 7884 dptram (6 kbytes ) qsmcm (4 kbytes ) mios1 (4 kbytes ) toucan_a (1 kbyte ) toucan_b (1 kbyte ) uimb_registers (128 bytes) tpu3_a (1 kbyte ) tpu3_b (1 kbyte ) qadc_a (1 kbyte ) qadc_b (1 kbyte ) dptram control reserved (8180 bytes) reserved (2 kbytes ) 0x30 2000 0x30 4000 0x30 5000 0x30 6000 reserved (1920 bytes) (12 bytes) imb3 address space 0x2f c800 0x2f c840 uimb interface & (32 kbytes) imb3 modules cmf flash a reserved for flash control reserved for imb3 reserved sram a 256 0x07 0000 0x00 0000 0x06 ffff 0x30 4400 0x30 4800 0x30 4c00 kbyte kbytes cmf flash b 192 sr a m c on t r ol b 0x38 0008 ( 8 b ytes) (16 kbytes) sram b 0x04 0000 0x3f c 000 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 overview motorola user?s manual rev. 15 october 2000 1-8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-1 section 2 signal descriptions 2.1 packaging and pinout descriptions figure 2-1 gives the case configuration and packaging information for the MPC555 / mpc556. figure 2-2 gives the MPC555 / mpc556 pinout data. table 2-1 gives an overview of the pins on the MPC555 / mpc556. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-2 figure 2-1 MPC555 / mpc556 case dimensions and packaging case 1135a?01 issue b c b dim min max millimeters a 2.05 2.65 a1 0.50 0.70 a2 0.50 0.70 a3 1.05 1.25 b 0.60 0.90 d 27.00 bsc d1 24.13 ref d2 23.30 24.70 e 27.00 bsc e1 24.13 ref e2 23.30 24.70 e 1.27 bsc notes: 1. dimensions and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. dimension is measured at the maximum solder ball diameter parallel to primary datum a. 4. primary datum a and the seating plane are defined by the spherical crowns of the solder balls. pin 1 e2 d2 d e b m 0.2 index c 0.2 4 x top view (d1) a (e1) 4x e 19x e /2 19x e 123 5 4 678910111213141516171819 a b c d e f g h j k l m n p r t u 20 v w y 272x b 3 c b m 0.3 a m 0.15 a side view 0.35 a a 0.2 a 272x a bottom view a2 a1 a3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-3 figure 2-2 MPC555 / mpc556 pinout data MPC555 ball map 1234567891011121314151617181920 a vddh a_tpuch1 a_tpuch4 a_tpuch8 a_tpuch12 a_tpuch15 vrl aan0_pqb0 aan48_pqb4 aan 52_pqa0 aan54_pqa2 ban0_pqb0 ban2_pqb2 ban3_pqb3 ban51_pqb7 vddh mda11 mda12 mda13 vddh b b_t2clk vddh a_ tpuch6 a_tpuch1 0 a_t p uc h 11 a_tpuch14 v r haan3_ pq b3 aan 4 9 _ pqb5 aa n 53_pqa 1 aan57_p q a5 ban1_pqb1 ban48_p qb 4ban5 2 _ p qa0 b a n54_pqa2 e trig2 mda14 mda 1 5vd d hmda28 c b_tpuch15 a_t2clk a_tpuch3 a_tpuch7 a_tpuch9 a_tpuch13 vdda aan2_pqb2 aan51_pqb7 aan 56_pqa4 aan59_pqa7 ban49_pqb5 ban53_pqa1 ban56_pqa4 ban57_pqa5 etrig1 mda27 mda29 mda30 mda31 d b _ tpuch11 b_tpuch13 a _ tpuch0 a_tpuch2 a_tpuch5 vddi vssa aan1_pqb1 aan 5 0 _ pqb6 aan55_pqa 3 aan58_pqa6 ban5 0 _pqb6 ban55_pqa3 ban5 8 _pqa6 ban59_pqa7 vddi vddl mpwm1 mpwm2 mpwm3 e b_tpuch 7 b_tpuch10 b_tpuch14 vddl mpwm0 mpwm17 mpwm 1 9mpio6 f b_tpuch 5 b_tpu c h6 b_ tpuch8 b_tpuch1 2 mpwm16 mpwm18 mpio7 mp i o9 g b_tpuch2 b_tpuch3 b_tpuch4 b_tpuch9 mpio5 mpio8 mpio11 mpio12 h b_tpuch 1 b_tpu c h0 b_cnrx 0 b_ c ntx0 mpio1 0 m pi o15 mpio14 mpio13 j tck_ dsck tdo_ dsdo trst_b vdd sram vss vss vss vss vf2 _mpio 2 vfls0 _mpio3 vf0 _mpio0 vf1 _mpio1 k tms tdi_dsdi sgp_frz vddl vss vss vss vss vddl v fls1 _mpio4 a_cntx0 a_cnrx0 l iwp1 _vfls iwp0 _ vfls irq3b _sgp irq4b _sgp vss vss vss vss pcs1 _qgp pcs0 _qgp mi s o _qgp 4 mosi _qg p 5 m irq0b _sgp irq1b _s g p irq2b _sgp sgp_ irq o utb vss vss vss vss pcs3 _qgp pcs2 _qgp eck sck_ qgp6 n web_ at[0] brb_iwp2 bgb_lwp1 bbb _iwp3 note: the p i nout i s a top down view of the package. rxd1 _ qgpi txd 1 _ qgpo rxd2_ qgpi txd 2 _ qgpo p web _ at[1] web_ at[2] web_ at[3] cs0b vpp epee vssf vddh r rd_wrb cs3b cs2b cs1b vddl vddf xfc vddsyn t oeb teab tsiz1 vddl vddi ka pwr vsssyn extal u tsiz0 tab tsb bdipb vddi addr_ sgp3 1 addr_ sgp30 addr_ sgp28 addr_ sgp 2 9vdd l d a ta_ sgp29 data_ sgp27 data_ sgp25 data_ sgp23 vddl data _ sgp20 rcfb_txp extclk eck_ buck xtal v burstb bib_stsb addr_ sgp11 addr_ sgp10 addr_ sgp9 addr_ sgp8 addr_ sgp22 addr_ sgp27 data_ sgp31 data_ sgp30 data_ sgp28 data_ sgp26 data_ sgp24 data_ sgp22 d ata_ sgp21 data_ sgp19 data_ sgp18 clkout poresetb sresetb w a d dr_ sgp12 vddh a d dr _ sgp14 addr_ sgp1 6 addr_ sgp18 addr_ sgp2 0 addr_ sgp23 addr_ sgp26 data_ sgp1 dat a _ sgp3 data_ sgp5 data_ s g p7 d a t a _ sgp9 data_ sgp11 data _ sgp1 3 data _ sgp15 data_ sgp1 7 irq5b _s g p vddh hresetb y vd dh addr_ s gp13 a d dr_ sgp15 addr_ sgp1 7 addr_ sgp19 a ddr_ sgp2 1 addr_ sgp24 addr_ sgp25 data_ sgp0 da ta _ sgp2 data_ sgp4 data_ sgp6 d a t a _ sgp8 data_ sgp10 data_ sgp1 2 data _ sgp14 d ata_ sgp1 6 irq6b _mck2 irq7b _mck3 vddh vddh =3 volt power (i/o) vddi =3 volt power (internal) vss =ground vddh =5 volt power =misc power y dees substrate 9/30/97a 21 november 1997 version 10.2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-4 table 2-1 MPC555 / mpc556 pi n functions for 272-pin pbga functional group signals 1 pins 3 v / 5 v 2 24 address lines (16-mbyte address space) addr[8:31]/sgpioa[8:31] 24 3-v / 5-v gpio 32-bit data bus data[0:31]/sgpiod[0:31] 32 external interrupts irq [0]/sgpioc[0] 8 3-v / 5-v gpio irq [1]/rsv /sgpioc[1] irq [2]/cr /sgpioc[2]/mts irq [3]/kr /retry /sgpioc[3] irq [4]/at[2]/sgpioc[4] irq [5]/sgpioc[5]/modck[1] 3 irq [6:7]/modck[2:3] 3 bus control tsiz[0:1] 11 3 v rd/wr burst bdip ts ta tea rstconf /texp 3 oe bi /sts general purpose chip select ma- chine (multiplexed with development and debug support) cs [0:3] 83 v we [0:3]/be [0:3]/at[0:3] power-on reset and reset configuration poreset 3 33 v hreset 3 sreset 3 development and debug support sgpioc[6]/frz/ptr 5 3-v / 5-v gpio sgpioc[7]/irqout /lwp[0] bg /vf[0]/lwp[1] br /vf[1]/iwp[2] bb /vf[2]/iwp[3] jtag and debug port tms 73 v tdi/dsdi tck/dsck tdo/dsdo trst iwp[0:1]/vfls[0:1] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-5 clocks and pll xtal 3 53 v extal 3 clkout extclk 3 xfc engclk/buclk 1 5 v qsmcm pcs0/ ss /qgpio[0] 12 5 v pcs[1:3]/qgpio[1:3] miso/qgpio[4] mosi/qgpio[5] sck/qgpio[6] txd[1:2]/qgpo[1:2] rxd[1:2]/qgpi[1:2] eck mios mda[11], [13] 18 5 v mda[12], [14] mda[15], [27:31] mpwm[0:3], [16:19] general-purpose i/o from mios vf[0:2]/mpio32b[0:2] 5 3-v / 5-v gpio vfls[0:1]/mpio32b[3:4] mpio32b[5:15] 11 5 v tpu a_tpuch[0:15], b_tpuch[0:15] 34 5 v a_t2clk, b_t2clk qadc etrig[1:2] 34 5 v a_an0/anw/pqb0, b_an0/anw/pqb0 a_an1/anx/pqb1, b_an1/anx/pqb1 a_an2/any/pqb2, b_an2/any/pqb2 a_an3/anz/pqb3, b_an3/anz/pqb3 a_an[48:51]/pqb[4:7], b_an[48:51]/pqb[4:7] a_an[52:54]/ma[0:2]/pqa[0:2], b_an[52:54]/ma[0:2]/pqa[0:2] a_an[55:56]/pqa[3:4], b_an[55:56]/pqa[3:4] a_an[57:59]/pqa[5:7], b_an[57:59]/pqa[5:7] toucan a_cntx0, b_cntx0, a_cnrx0, b_cnrx0 4 5 v flash eeprom e pee 13 v supplies ground vss, vssf, vsssyn 18 analog ground vssa, vrl 2 low voltage supply vddi, vddl, vddsram, vddsyn, kapwr3, vddf 16 3 v table 2-1 MPC555 / mpc556 pin functions for 272-pin pbga (continued) functional group signals 1 pins 3 v / 5 v 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-6 2.2 pin functionality the pad ring supports 234 functional pins (284 including all power and ground). some pins serve multiple functions. the pad characteristics for each pin are described in ta- ble 2-2 . this table contains the following columns:  pin ? list of functional (signal) names for each pin. (for actual pin names, see 2.7 pin names and abbreviations .  function ? name of function (signal). each pin supports one or more functions, and each function (signal) name is a separate entry in the table.  driver type ? type of driver that is used to drive the pin (for output functionality). types of output drivers are: ? totem pole (tp). this driver type uses a push pull scheme to drive the pin. these pins can be driven high or low or can be three-stated. care must be tak- en to ensure that there is no contention on this pin (for example, an external driver driving the pin high while an internal driver is driving it low). ? open drain (od). this driver type uses an open drain approach to drive the pins. pins with an od driver can be either driven low or three-stated. this driver scheme is typically used for pins that could potentially be asserted by multiple modules. ? active negated (ang). this driver type fully drives a low level. a high level is driven and then released. a pull-up resistor may be needed on this type of out- put.  receiver type ? type of receiver used for the pin. some inputs need to have a synchronizer to prevent latching a metastable signal at the pins. such require- ments are indicated in this column with the abbreviation ?synch.? another possible entry is ?glitch filter.? it is added to reset signals.  direction ? direction of the pin for each function it supports. the possible direc- tions are input (i), output (o ) and bi-directional (i/o).  voltage ? voltage requirement for each function of a pin. there are two supply voltages: 5 v and 3 v.  slew rate ? timing needed from the 5-v drivers. the options are with slew rate (typically 200/50 ns with 50 pf load) or fast 5-v driver.  drive strength ? drive strength for 3-v drivers of the output load. for all 3-v out- puts, the drive strength is 25/50 pf. for two pads (clkout and engclk) the drive strength is 45/90 pf.  pad type ? functional pad structure used for a pin. for pad type descriptions, see 2.5 pad types . high voltage supply vddh, vdda, vrh 12 5 v programming voltage vpp 1 3-v / 5-v notes: 1. ?/? implies that the corresponding functions are multiplexed on the pin 2. all inputs are 5 v friendly. all 5 v outputs are slow slew rate except for sci transmit pins. 3. these pins are powered by kapwr (keep alive power supply). table 2-1 MPC555 / mpc556 pin functions for 272-pin pbga (continued) functional group signals 1 pins 3 v / 5 v 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-7 table 2-2 pin functionality table pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver usiu addr[8:31]/ sgpioa[8:31] addr[8:31] tp ? i/o 3 v ? 25 / 50 j sgpioa[8:31] tp hysteresis, synch i/o 5 v 200 / fast ? data[0:31] sgpiod[0:31] data[0:31] tp ? i/o 3 v ? 25 / 50 jd sgpiod[0:31] tp hysteresis, synch i/o 5 v 200 / fast ? irq [0]/ sgpioc[0] irq [0] ? hysteresis, synch i3 v ? ? ih sgpioc[0] tp hysteresis, synch i/o 5 v 200 / fast ? irq [1]/rsv / sgpioc[1] irq [1] ? hysteresis, synch i3 v ? ? ih rsv tp ? o 3 v ? 25 / 50 sgpioc[1] tp hysteresis, synch i/o 5 v 200 / fast ? irq [2] /cr / sgpioc[2]/ mts irq [2] ? hysteresis, synch i3 v ? ? ih cr ??i3 v?? sgpioc[2] tp hysteresis, synch i/o 5 v 200 / fast ? mts tp ? o 3 v 25 / 50 ? irq [3]/kr , retry / sgpioc[3] irq [3] ? hysteresis, synch i3 v ? ? ih kr , retry tp ? i/o 3 v ? 25 / 50 sgpioc[3] tp hysteresis, synch i/o 5 v 200 / fast ? irq [4]/at[2]/ sgpioc[4] irq [4] ? hysteresis, synch i3 v ? ? ih at[2] tp ? o 3 v ? 25 / 50 sgpioc[4] tp hysteresis, synch i/o 5 v 200 / fast ? irq [5]/ sgpioc[5]/ modck[1] 2 irq [5] ? hysteresis, synch i3 v ? ? ih sgpioc[5] tp hysteresis, synch i/o 5 v 200 / fast ? modck[1] ? ? i 3 v ? ? irq [6:7]/ modck[2:3] 2 irq [6:7] ? hysteresis, synch i3 v ? ? ch modck[2:3] ? ? i 3 v ? ? tsiz[0:1] tsiz[0:1] tp ? i/o 3 v ? 25 / 50 f rd/wr rd/wr tp ? i/o 3 v ? 25 / 50 f burst burst tp ? i/o 3 v ? 25 / 50 f f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-8 bdip bdip tp ? i/o 3 v ? 25 / 50 f ts 3 ts ang ? i/o 3 v ? 25 / 50 e ta 3 ta ang ? i/o 3 v ? 25 / 50 e tea tea od ? i/o 3 v ? 25 / 50 e rstconf / texp 2 rstconf ??i3 v?? e texp tp ? o 3 v ? 25 / 50 oe oe tp ? o 3 v ? 25 / 50 a bi /sts bi 3 ang ? i/o 3 v ? 25 / 50 e sts tp ? o 3 v ? 25 / 50 cs [0:3] cs [0:3] tp ? o 3 v ? 25 / 50 a we [0:3]/ be [0:3]/at[0:3] we [0:3]/be [0:3] tp ? o 3 v ? 25 / 50 f at[0:3] tp ? o 3 v ? 25 / 50 poreset 2 poreset ? hysteresis glitch filter i3 v ? ?cnh hreset 2 hreset od hysteresis glitch filter i/o 3 v ? 25 / 50 eoh sreset ,2 sreset od hysteresis glitch filter i/o 3 v ? 25 / 50 eoh sgpioc[6]/ frz/ptr sgpioc[6] tp hysteresis, synch i/o 5 v 200 / fast ? i frz tp ? o 3 v ? 25 / 50 ptr tp ? o 3 v ? 25 / 50 sgpioc[7]/ irqout / lwp[0] sgpioc[7] tp hysteresis, synch i/o 5 v 200 / fast ? i irqout tp ? o 3 v ? 25 / 50 lwp[0] tp ? o 3 v ? 25 / 50 bg /vf[0]/ lwp[1] bg tp ? i/o 3 v ? 25 / 50 g vf[0] tp ? o 3 v ? 25 / 50 lwp[1] tp ? o 3 v ? 25 / 50 br /vf[1]/ iwp[2] br tp ? i/o 3 v ? 25 / 50 g vf[1] tp ? o 3 v ? 25 / 50 iwp[2] tp ? o 3 v ? 25 / 50 bb /vf[2]/ iwp[3] bb3 ang ? i/o 3 v ? 25 / 50 g vf[2] tp ? o 3 v ? 25 / 50 iwp[3] tp ? o 3 v ? 25 / 50 iwp[0:1]/ vfls[0:1] iwp[0:1] tp ? o 3 v ? 25 / 50 a vfls[0:1] tp ? o 3 v ? 25 / 50 tms tms ? ? i 3 v ? ? c tdi/dsdi tdi ? ? i 3 v ? ? c dsdi ? ? i 3 v ? ? table 2-2 pin functiona lity table (continued) pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-9 tck/dsck tck ? ? i 3 v ? ? d dsck ? ? i 3 v ? ? tdo/dsdo tdo tp ? o 3 v ? 25 / 50 a dsdo tp ? o 3 v ? 25 / 50 trst trst ??i3 v??c xtal 2 xtal tp ? o 3 v ? ? ? extal 2 extal ? ? i 3 v ? ? ? xfc xfc ? ? i/o 3 v ? ? ? clkout clkout tp ? o 3 v ? 30 / 90 4 b extclk 2 extclk ? ? i 3 v ? ? ? engclk/ buclk engclk tp ? o 5 v ? 25 / 50 5 s buclk tp ? o 5 v ? 25 / 50 qsmcm pcs0/ ss /qgpio[0] pcs0 tp/od synch/ no synch i/o 5 v 50 / fast ? o ss tp/od synch/ no synch i/o 5 v 50 / fast ? qgpio[0] tp/od synch/ no synch i/o 5 v 50 / fast ? pcs[1:3]/ qgpio[1:3] pcs[1:3] tp/od synch i/o 5 v 50 / fast ? o qgpio[1:3] tp/od synch i/o 5 v 50 / fast ? miso/qgpio[4] miso tp/od synch/ no synch i/o 5 v 50 / fast ? o qgpio[4] tp/od synch/ no synch i/o 5 v 50 / fast ? mosi/qgpio[5] mosi tp/od synch/ no synch i/o 5 v 50 / fast ? o qgpio[5] tp/od synch/ no synch i/o 5 v 50 / fast ? sck/qgpio[6] sck tp/od synch/ no synch i/o 5 v 50 / fast ? o qgpio[6] tp/od synch/ no synch i/o 5 v 50 / fast ? txd[1:2]/ qgpo[1:2] txd[1:2] tp/od ? o 5 v 200 / fast ? q qgpo[1:2] tp/od ? o 5 v 200 / fast ? rxd[1:2]/ qgpi[1:2] rxd[1:2] ? ? i 5 v ? ? r qgpi[1:2] ? ? i 5 v ? ? eck eck ? ? i 5 v ? ? r mios mda[11:15] mda[11:15] tp hysteresis, synch i/o 5 v 200 / fast ? p mda[27:31] mda[27:31] tp hysteresis, synch i/o 5 v 200 / fast ? p table 2-2 pin functiona lity table (continued) pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-10 mpwm[0:3], [16:19] mpwm[0:3], [16:19] tp hysteresis, synch i/o 5 v 200 / fast ? p vf[0:2]/ mpio32b[0:2] vf[0:2] tp ? o 3 v ? 25 / 50 h mpio32b[0:2] tp hysteresis, synch i/o 5 v 200 / fast ? vfls[0:1]/ mpio32b[3:4] vfls[0:1] tp ? o 3 v ? 25 / 50 h mpio32b[3:4] tp hysteresis, synch i/o 5 v 200 / fast ? mpio32b[5:15] mpio32b[5:15] tp hysteresis, synch i/o 5 v 200 / fast ? o tpu_a/tpu_b a_tpuch[0:15] tpuch[0:15] tp hysteresis, synch i/o 5 v 200 / fast ? p a_t2clk t2clk tp hysteresis synch i/o 5 v 200 / fast ? p b_tpuch[0:15] tpuch[0:15] tp hysteresis, synch i/o 5 v 200 / fast ? p b_t2clk t2clk tp hysteresis, synch i/o 5 v 200 / fast ? p qadc_a/qadc_b etrig[1:2] etrig[1:2] ? synch i 5 v ? ? n an0/ anw/ pqb0 an0 ? analog i 5 v ? ? m anw ? analog i 5 v ? ? pqb0 ? hysteresis, synch i5 v ? ? an1/anx/pqb1 an1 ? analog i 5 v ? ? m anx ? analog i 5 v ? ? pqb1 ? hysteresis, synch i5 v ? ? an2/any/pqb2 an2 ? analog i 5 v ? ? m any ? analog i 5 v ? ? pqb2 ? hysteresis, synch i5 v ? ? an3/anz/pqb3 an3 ? analog i 5 v ? ? m anz ? analog i 5 v ? ? pqb3 ? hysteresis, synch i5 v ? ? an[48:51]/ pqb[4:7] an[48:51] ? analog i 5 v ? ? m pqb[4:7] ? hysteresis, synch i5 v ? ? an[52:54]/ ma[0:2]/ pqa[0:2] an[52:54] ? analog i 5 v ? ? l ma[0:2] od ? o 5 v ? ? pqa[0:2] od hysteresis, synch i/o 5 v ? ? table 2-2 pin functiona lity table (continued) pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-11 an[55:56]/ pqa[3:4] an[55:56] ? analog i 5 v ? ? l pqa[3:4] od hysteresis, synch i/o 5 v ? ? an[57:59]/ pqa[5:7] an[57:59] ? analog i 5 v ? ? l pqa[5:7] od hysteresis, synch i/o 5 v ? ? an0/anw/ pqb0 an0 ? analog i 5 v ? ? m anw ? analog i 5 v ? ? pqb0 ? hysteresis, synch i5 v ? ? an1/anx/pqb1 an1 ? analog i 5 v ? ? m anx ? analog i 5 v ? ? pqb1 ? hysteresis, synch i5 v ? ? an2/any/pqb2 an2 ? analog i 5 v ? ? m any ? analog i 5 v ? ? pqb2 ? hysteresis, synch i5 v ? ? an3/anz/pqb3 an3 ? analog i 5 v ? ? m anz ? analog i 5 v ? ? pqb3 ? hysteresis, synch i5 v ? ? an[48:51]/ pqb[4:7] an[48:51] ? analog i 5 v ? ? m pqb[4:7] ? hysteresis, synch i5 v ? ? an[52:54]/ ma[0:2]/ pqa[0:2] an[52:54] ? analog i 5 v ? ? l ma[0:2] od ? o 5 v ? ? pqa[0:2] od hysteresis, synch i/o 5 v ? ? an[55:56]/ pqa[3:4] an[55:56] ? analog i 5 v ? ? l pqa[3:4] od hysteresis, synch i/o 5 v ? ? an[57:59]/ pqa[5:7] an[57:59] ? analog i 5 v ? ? l pqa[5:7] od hysteresis, synch i/o 5 v ? ? toucan_a/toucan_b a_cntx0 cntx0_a tp/od ? o 5 v 50 / fast ? q b_cntx0 cntx0_b tp/od ? o 5 v 50 / fast ? q a_cnrx0 cnrx0_a ? synch / no synch i5 v ? ? r b_cnrx0 cnrx0_b ? synch / no synch i5 v ? ?r table 2-2 pin functiona lity table (continued) pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-12 2.3 signal descriptions the pad ring supports 234 functional pins (284 including all power and ground). each pin and the functionality it supports are described in this section. all references to tim- ing in this document are numbers that are expected for a typical case process with a 50-pf load at 25 o c. the supply voltages are assumed to be typical, as well: 5 v or 3.3 v. the 5-v supply is generally referred to as the 5-v supply, and the 3.3-v supply is referred to as the 3-v su pply in this section. 2.3.1 usiu pads 2.3.1.1 addr[8:31]/sgpioa[8:31] pin name : addr_sgpioa[8:31] (24 pins) address bus ? specifies the physical address of the bus transaction. the address is driven onto the bus and kept valid until a transfer acknowledge is received from the slave. addr8 is the most significant signal for this bus. cmf epee epee ? sequencer i 3 v ? ? k vpp vpp ? ? i 5 v ? ? ? global power supplies vdda vdda ? ? i 5 v ? ? ? vddf vddf ? ? i 3 v ? ? ? vddl vddl ? ? i 3 v ? ? ? vddh vddh ? ? i 5 v ? ? ? vddi vddi ? ? i 3 v ? ? ? vddsyn vddsyn ? ? i 3 v ? ? ? vrh vrh ? ? i 5 v ? ? ? vrl vrl ? ? i ? ? ? ? vssa vssa ? ? i ? ? ? ? vssf vssf ? ? i ? ? ? ? vsssyn vsssyn ? ? i ? ? ? ? kapwr 2 kapwr ? ? i 3 v ? ? ? vddsram vddsram ? ? i 3 v ? ? ? vss vss ? ? i ? ? ? ? notes: 1. all inputs are 5-v friendly. all 5-v outputs are slow slew rate. the qsmcm and toucan pins have some slew rate control, but are faster than the general/purpose i/o and timer pins. 2. these pins are powered by kapwr (keep alive power supply). 3. this pin is an active negate signal and may need an external pull-up resister. 4. drive strength was 45/90 in make sets prior to k62n. 5. drive strength was 45/90 in make sets prior to k62n. table 2-2 pin functiona lity table (continued) pin function type direc- tion 1 volt- age slew rate ns / 50 pf drive strength (pf) pad type driver receiver f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-13 sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.2 data[0:31]/sgpiod[0:31] pin name : data_sgpiod[0:31] (32 pins) data bus ? provides the general purpose data path between the chip and all other devices. although the data path is a maximum of 32 bits wide, it can be sized to sup- port 8-, 16-, or 32-bit transfers. data[0] is the msb of the data bus. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.3 irq [0]/sgpioc[0] pin name : irq0_b_sgpioc0 interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. irq0 is a nonmaskable interrupt (nmi). sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.4 irq [1]/rsv /sgpioc[1] pin name : irq1_b_rsv_b_sgpioc1 interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. reservation ? this line used together with the address bus to indicate that the internal core initiated a transfer as a result of a stwcx or a lwarx instruction. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.5 irq [2]/cr /sgpioc[2]/mts pin name : irq2_b_cr_b_sgpioc2_mts interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. cancel reservation ? instructs the chip to clear its reservation, some other master has touched its reserved space. an external bus snooper would assert this signal. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. memory transfer start ? this pin is the transfer start signal from the MPC555 / mpc556 memory controller to allow external memory access by an external bus mas- ter. 2.3.1.6 irq [3]/kr /retry /sgpioc[3] pin name : irq3_b_kr_b_retry_b_sgpioc3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-14 interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. kill reservation ? in case of a bus cycle initiated by a stwcx instruction issued by the cpu core to a non-local bus on which the storage reservation has been lost, this signal is used by the non-local bus interface to back-off the cycle. retry ? indicates to a master that the cycle is terminated but should be repeated. as an input, it is driven by the external slave to retry a cycle. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.7 irq [4]/at[2]/sgpioc[4] pin name : irq4_b_at2_sgpioc4 interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. address type ? a bit from the address type bus which indicates one of the 16 ?ad- dress types? to which the address applies. the address type signals are valid at the rising edge of the clock in which the special transfer start (sts ) is asserted. at[2] iden- tifies an access as either data or instrucion. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. 2.3.1.8 irq [5]/sgpioc[5]/modck[1] pin name : irq5_b_sgpioc5_modck1 interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. sgpio ? this function allows the pins to be used as general purpose inputs/outputs. mode clock [1] ? sampled at the negation of poreset in order to configure the phase-locked loop (pll)/clock mode of operation. 2.3.1.9 irq [6:7]/modck[2:3] pin name: irq6_b_modck2 - irq7_b_modck3 (2 pins) interrupt request ? one of the eight external lines that can request, by means of the internal interrupt controller, a service routine from the rcpu. mode clock [2:3] ? sampled at the negation of poreset in order to configure the pll/clock mode of operation. 2.3.1.10 tsiz[0:1] pin name : tsiz0 - tsiz1 (2 pins) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-15 transfer size ? indicates the size of the requested data transfer in the current bus cy- cle. 2.3.1.11 rd/wr pin name : rd_wr_b read/write ? indicates the direction of the data transfer for a transaction. a logic one indicates a read from a slave device; a logic zero indicates a write to a slave device. 2.3.1.12 burst pin name : burst_b burst indicator ? indicates whether the current transaction is a burst transaction or not. 2.3.1.13 bdip pin name : bdip_b burst data in progress ? indicates to the slave that there is a data beat following the current data beat. 2.3.1.14 ts pin name : ts_b transfer start ? indicates the start of a bus cycle th at transfers data to/from a slave device. this signal is driven by the master only when it gained the ownership of the bus. every master should negate this signal before the bus relinquish. every master should negate this signal before the bus is relinquished. this pin is an active negate signal and may need an external pull-up resistor to ensure proper operation and signal timing specifications. 2.3.1.15 ta pin name : ta_b transfer acknowledge ? this line indicates that the slave device addressed in the current transaction has accepted the data transferred by the master (write) or has driv- en the data bus with valid data (read). the slave device negates the ta_b signal after the end of the transaction and immediately three-state it to avoid contentions on the line if a new transfer is initiated addressing other slave devices. this pin is an active negate signal and may need an external pull-up resistor to ensure proper operation and signal timing specifications. 2.3.1.16 tea pin name : tea_b f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-16 transfer error acknowledge ? this signal indicates that a bus error occurred in the current transaction. the mcu asserts this signal when the bus monitor does not detect a bus cycle termination within a reasonable amount of time. the assertion of tea causes the termination of the current bus cycle, regardless of the state of tea . an ex- ternal pull-up device is required to negate tea quickly, before a second error is de- tected. that is, the pin must be pulled up within one clock cycle of the time it was three- stated by the MPC555 / mpc556. 2.3.1.17 rstconf /texp pin name : rstconf_b_texp reset configuration ? input. this input line is sampled by the chip during the asser- tion of the hreset signal in order to sample the reset configuration. if the line is as- serted, the configuration mode will be sampled from the external data bus. when this line is negated, the configuration mode ad opted by the chip will be the default one. timer expired ? this output line reflects the status of the texps bit in the plprcr register in the usiu. this indicates an expired timer value. 2.3.1.18 oe pin name : oe_b output enable ? this output line is asserted when a read access to an external slave controlled by the gpcm in the memory controller is initiated by the chip. 2.3.1.19 bi /sts pin name : bi_b_sts_b burst inhibit ? this bi-directional, active low, three-state line indicates that the slave device addressed in the current burst transaction is not able to support burst transfers. when the chip drives out the signal for a specific transaction, it asserts or negates bi during the transaction according to the value specified by the user in the appropriate control registers. negation of the signal occurs after the end of the transaction followed by the immediate three-state. this pin is an active negate signal and may need an ex- ternal pull-up resistor to ensure proper operation and signal timing specifications. special transfer start ? this output signal is driven by the chip to indicate the start of a transaction on the external bus or signals the beginning of an internal transaction in showcycle mode. 2.3.1.20 cs [0:3] pin name: cs0_b - cs3_b (4 pins) chip select ? these output signals enable peripheral or memory devices at pro- grammed addresses if defined appropriately in the memory controller. cs0 can be configured to be the global chip select for the boot device. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-17 2.3.1.21 we [0:3] / be [0:3]/at[0:3] pin name: we_b_at[0:3](4 pins) write enable[0:3]/byte enable[0:3] ? this output line is asserted when a write ac- cess to an external slave controlled by the gpcm in the memory controller is initiated by the chip. it can be optionally be asserted on all read and write accesses. see webs bit definition in table 10-7 . we [0] / be [0] is asserted if the data lane data[0:7] contains valid data to be stored by the slave device. we [1]/be [1] is asserted if the data lane data[8:15] contains valid data to be stored by the slave device. we [2]/be [2] is as- serted if the data line data[16:23] contains valid data to be stored by the slave device. we [3]/be [3] is asserted if the data lane data[24:31] contains valid data to be stored by the slave device. address type ? indicates one of the 16 address types to which the address applies. the address type signals are valid at the rising edge of the clock in which the special transfer start (sts ) is asserted. 2.3.1.22 poreset pin name : poreset_b power on reset ? this pin should be activated as a result of a voltage failure on the keep-alive power pins. the pin has a glitch detector to ensure that low spikes of less than 20 ns are rejected. the internal poreset signal is asserted only if poreset is asserted for more than 100 ns. see section 7 reset for more details on timing. 2.3.1.23 hreset pin name : hreset_b hard reset ? the chip can detect an external assertion of hreset only if it occurs while the chip is not asserting reset. after negation of hreset or sreset is detect- ed, a 16 cycles period is taken before testin g the presence of an external reset. the internal hreset signal is asserted only if hreset is asserted for more than 100 ns. to meet external timing requirements, an external pull-up device is required to negate hreset . see section 7 reset for more details on timing. 2.3.1.24 sreset pin name : sreset_b soft reset ? the chip can detect an external assertion of sreset only if it occurs while the chip is not asserting reset. after negation of hreset or sreset is detect- ed, a 16-cycle period is taken before testing the presence of an external soft reset. to meet external timing requirements, an external pull-up device is required to negate sreset . see section 7 reset for more details on timing. 2.3.1.25 sgpioc[6]/frz/ptr pin name : sgpioc6_frz_ptr_b f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-18 sgpio ? this function allows the pins to be used as general purpose inputs/outputs. freeze ? indicates that the rcpu is in debug mode. program trace ? indicates an instruction fetch is taking place in order to allow pro- gram flow tracking. 2.3.1.26 sgpioc[7]/irqout /lwp[0] pin name : sgpioc7_irqout_b_lwp0 sgpio ? this function allows the pins to be used as general purpose inputs/outputs. interrupt out ? indicates that an interrupt has been requested to all external devices. load/store watchpoint 0 ? this output line reports the detection of a data watchpoint in the program flow executed by the rcpu. see section 21 development sup- port for more details. 2.3.1.27 bg /vf[0]/lwp[1] pin name : bg_b_vf0_lwp1 bus grant ? indicates external data bus status. is asserted low when the arbiter of the external bus grants to the specific master the ownership of the bus. visible instruction queue flush status ? this output line together with vf1 and vf2 is output by the chip when a program instructions flow tracking is required by the user. vf report the number of instructions flushed from the instruction queue in the internal core. see section 21 development support for more details. load/store watchpoint ? this output line reports the detection of a data watchpoint in the program flow executed by the rcpu. 2.3.1.28 br /vf[1]/iwp[2] pin name : br_b_vf1_iwp2 bus request ? indicates that the data bus has been requested for external cycle. visible instruction queue flush status ? this output line together with vf1 and vf2 is output by the chip when a program instructions flow tracking is required by the user. vf report the number of instructions flushed from the instruction queue in the internal core. see section 21 development support for more details. instruction watchpoint 2 ? this output line reports the detection of an instruction watchpoint in the program flow executed by the rcpu. 2.3.1.29 bb /vf[2]/iwp[3] pin name : bb_b_vf2_iwp3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-19 bus busy ? indicates that the master is using the bus. this pin is an active negate signal and may need an external pull-up resistor to ensure proper operation and signal timing specifications. visible instruction queue flush status ? this output line together with vf0 and vf1 is output by the chip when a program instructions flow tracking is required by the user. vf report the number of instructions flushed from the instruction queue in the internal core. instruction watchpoint 3 ? this output line reports the detection of an instruction watchpoint in the program flow executed by the internal core. 2.3.1.30 iwp[0:1]/vfls[0:1] pin name: iwp0_vfls0 - iwp1_vfls1 (2 pins) instruction watchpoint ? these output lines report the detection of an instruction watchpoint in the program flow executed by the rcpu. visible history buffer flush status ? these signals are output by the chip to enable program instruction flow tracking. they report the number of instructions flushed from the history buffer in the rcpu. see section 21 deve lopment support for de- tails. 2.3.1.31 tms pin name : tms test mode select ? this input controls test mode operations for on-board test logic (jtag). 2.3.1.32 tdi/dsdi pin name : tdi_dsdi test data in ? this input is used for serial test instructions and test data for on-board test logic (jtag). development serial data input ? this input line is the data in for the debug port in- terface. see section 21 devel opment support for details. 2.3.1.33 tck/dsck pin name : tck_dsck test clock ? this input provides a clock for on-board test logic (jtag). development serial clock ? this input line is the clock for the debug port interface. see section 21 development support for details. 2.3.1.34 tdo/dsdo pin name : tdo_dsdo f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-20 test data out ? this output is used for serial test instructions and test data for on- board test logic (jtag). development serial data output ? this output line is the data-out line of the debug port interface. see section 21 development support for details. 2.3.1.35 trst pin name : trst_b test reset ? this input provides asynchronous reset to the test logic (jtag). for non-jtag test applications, trst should be connected to ground or poreset via an external resistor. 2.3.1.36 xtal pin name : xtal xtal ? this output line is one of the connections to an external crystal for the internal oscillator circuitry. 2.3.1.37 extal pin name : extal extal ? this line is one of the connections to an external crystal for the internal os- cillator circuitry. if this pin is unused, it must be grounded. 2.3.1.38 xfc pin name : xfc external filter capacitance ? this input line is the connection pin for an external ca- pacitor filter for the pll circuitry. 2.3.1.39 clkout pin name : clkout clock out ? this output line is the clock system frequency. the clkout drive strength can be configured to full strength, half strength, or disabled. the drive strength is configured using the com[0:1] bits in the sccr register in the usiu. 2.3.1.40 extclk pin name : extclk extclk ? input. this is the external frequency so urce for the chip. if this is unused, the pin must be grounded. 2.3.1.41 vddsyn pin name : vddsyn f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-21 vddsyn ? this is the power supply of the pll circuitry. 2.3.1.42 vsssyn pin name : vsssyn vsssyn ? this is the power supply of the pll circuitry. 2.3.1.43 engclk/buclk pin name : engclk_buclk engclk ? this is the engineering clock output. drive strength can be configured to full strength, half strength or disabled. the drive strength is configured using the ee- clk[0:1] bits in the sccr register in the siu. buclk ? when the chip is in limp mode, it is operating from a less precise on-chip ring oscillator to allow the system to continue minimum functionality until the system clock is fixed. this backup clock can be seen externally based on the values of the ee- clk[0:1] bits in the sccr register in the usiu. 2.3.2 qsmcm pads 2.3.2.1 pcs[0]/ss /qgpio[0] pin name : pcs0_ss_b_qgpio0 pcs[0] ? this signal provides qspi peripheral chip select 0. ss ? assertion of this bi-directional signal places the qspi in slave mode. qspi gpio[0] ? when this pin is not needed for a qspi application it can be config- ured as a general purpose input/output. 2.3.2.2 pcs[1:3]/qgpio[1:3] pin name : pcs1_qgpio1 - pcs3_qgpio3 (3 pins) pcs[1:3] ? these signals provide three qspi peripheral chip selects. qgpio[1:3] ? when these pins are not needed for qspi applications they can be con- figured as a general purpose input/output. 2.3.2.3 miso/qgpio[4] pin name : miso_qgpio4 master-in slave-out (miso) ? this bi-directional signal furnishes serial data input to the qspi in master mode, and serial data output from the qspi in slave mode. qgpio[4] ? when this pin is not needed for a qspi application it can be configured as a general purpose input/output. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-22 2.3.2.4 mosi/qgpio[5] pin name : mosi_qgpio5 master-out slave-in (mosi) ? this bi-directional signal furnishes serial data output from the qspi in master mode and serial data input to the qspi in slave mode. qgpio[5] ? when this pin is not needed for a qspi application it can be configured as a general purpose input/output. 2.3.2.5 sck/qgpio[6] pin name : sck_qgpio6 sck ? this bi-directional signal furnishes the clock from the qspi in master mode or furnishes the clock to the qspi in slave mode. qgpio[6] ? when this pin is not needed for a qspi application, it can be configured as a general purpose input/output. when the qspi is enabled for serial transmitting, the pin can not function as a gpio. 2.3.2.6 txd[1:2]/qgpo[1:2] pin name : txd1_qgpo1 - txd2_qgpo2 (2 pins) transmit data ? these output signals are the serial data outputs from the sci1 and sci2. qsci gpo[1:2] ? when these pins are not needed for a sci applications, they can be configured as general-purpose outputs. when the transmit enable bit in the sci control register is set to a logic 1, these pins can not function as general purpose outputs 2.3.2.7 rxd[1:2]/qgpi[1:2] pin name : rxd1_qgpi1 - rxd2_qgpi2 (2 pins) receive data ? these input signals furnish serial data inputs to the sci1 and sci2. qsci gpi[1:2] ? when these pins are not needed for sci applications they can be configured as general purpose inputs. when the receive enable bit in the sci control register is set to a logic 1, these pins can not function as general purpose inputs. 2.3.2.8 eck pin name : eck external baud clock ? this signal provides an external baud clock used by sci1 and sci2. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-23 2.3.3 mios pads 2.3.3.1 mda[11], [13] pin name : mda11, mda13 (2 pins) double action ? each of these pins provide a path for two 16-bit input captures and two 16-bit output compares. clock input ? each of these pins provide a clock input to the modulus counter sub- module. mda11 can be used as the clock input to the mmcsm6 modulus counter. mda13 can be used as the clock input to the mmcsm22 modulus counter. 2.3.3.2 mda[12], [14] pin name: mda12, mda14, (2 pins) double action ? each of these pins provide a path for two 16-bit input captures and two 16-bit output compares. load input ? each of these pins provide a load input to the modulus counter submod- ule. mda12 can be used as the load input to the mmcsm6 modulus counter. mda14 can be used as the load input to the mmcsm22 modulus counter. 2.3.3.3 mda[15], [27:31] pin name: mda15, mda27 - mda31 (6 pins) double action ? each of these pins provide a path for two 16-bit input captures and two 16-bit output compares. 2.3.3.4 mpwm[0:3], [16:19] pin name : mpwm0 - mpwm3, mpwm16 - mpwm19 (8 pins) pulse width modulation ? these pins provide variable pulse width output signals at a wide range of frequencies. 2.3.3.5 vf[0:2]/mpio32b[0:2] pin name : vf0_mpio32b0 - vf2_mpio32b2 (3 pins) visible instruction queue flush status ? these lines output by the chip when pro- gram instruction flow tracking is required by the user. vf reports the number of instruc- tions flushed from the instruction queue in the internal core. mios gpio ? this function allows the pins to be used as general-purpose inputs/out- puts. 2.3.3.6 vfls[0:1]/mpio32b[3:4] pin name : vfls0_mpio32b3 - vfls1_mpio32b4 (2 pins) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-24 visible history buffer flush status ? these signals are output by the chip to allow program instruction flow tracking. they report the number of instructions flushed from the history buffer in the rcpu. see section 21 deve lopment support for de- tails. mios gpio ? this function allows the pins to be used as general purpose inputs/out- puts. 2.3.3.7 mpio32b[5:15] pin name : mpio32b5 - mpio32b15 (11 pins) mios gpio ? this function allows the pins to be used as general purpose inputs/out- puts. 2.3.4 tpu_a/tpu_b pads 2.3.4.1 tpuch[0:15]_[a:b] pin name : a_tpuch0 - a_tpuch15 (16 pins for first tpu), b_tpuch0 - b_tpuch15 (16 pins for second tpu) tpu channels ? these signals provide each tpu with 16 input/output programmable timed events. 2.3.4.2 t2clk pin name: a_t2clk (1 pin for first tpu), b_t2clk (1 pin for second tpu) t2clk ? this signal is used to clock or gate the timer count register 2 (tcr2) within the tpu. this pin is an output-only in special test mode. 2.3.5 qadc_a/qadc_b pads 2.3.5.1 etrig[1:2] pin name : etrig1 - etrig2 etrig ? these are the external trigger inputs to the qadc_a and qadc_b modules. etrig[1] can be configured to be used by both qadc_a and qadc_b. likewise, etrig[2] can be used for both qadc_b and qadc_a. the trigger input pins are as- sociated with the scan queues. 2.3.5.2 an[0]/anw/pqb[0]_[a:b] pin name : a_an0_anw_pqb0 (1 pin for first qadc), b_an0_anw_pqb0 (1 pin for sec- ond qadc) analog channel (an0) ? internally multiplexed input-only analog channels. passed on as a separate signal to the qadc. multiplexed analog input (anw) ? externally multiplexed analog input. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-25 port (pqb0) ? input-only port. this is a 5-v input. this path is synchronized in the pad. the input is level-shifted before it is sent internally to the qadc. 2.3.5.3 an[1]/anx/pqb[1]_[a:b] pin name : a_an1_anx_pqb1 (1 pin for first qadc), b_an1_anx_pqb1 (1 pin for sec- ond qadc) analog channel (an1) ? internally multiplexed input-only analog channels. passed on as a separate signal to the qadc. multiplexed analog input (anx) ? externally multiplexed analog input. port (pqb1) ? input-only port. this is a 5-v input. this path is synchronized in the pad. the input is level-shifted before bei ng sent internally to the qadc. 2.3.5.4 an[2]/any/pqb[2]_[a:b] pin name : a_an2_any_pqb2 (1 pin for first qadc), b_an2_any_pqb2 (1 pin for sec- ond qadc) analog channel (an2) ? internally multiplexed input-only analog channel. the input is passed on as a separate signal to the qadc. multiplexed analog input (any) ? externally multiplexed analog input. port (pqb2) ? input-only port. this is a 5-v input. this path is synchronized in the pad. the input is level-shifted before it is sent internally to the qadc. 2.3.5.5 an[3]/anz/pqb[3]_[a:b] pin name : a_an3_anz_pqb3 (1 pin for first qadc), b_an3_anz_pqb3 (1 pin for sec- ond qadc) analog input (an3) ? internally multiplexed input-only analog channel. the input is passed on as a separate signal to the qadc. multiplexed analog input (anz) ? externally multiplexed analog input. port (pqb3) ? input-only port. this is a 5-v input. this path is synchronized in the pad. the input is level-shifted before it is sent internally to the qadc. 2.3.5.6 an[48:51]/pqb[4:7]_[a:b] pin name : a_an48_pqb4 ? a_an51_pqb7 (4 pins for first qadc), b_an48_pqb4 ? b_an51_pqb7 (4 pins for second qadc). analog input (an[48:51]) ? analog input channel. the input is passed on as a sepa- rate signal to the qadc. port (pqb[4:7]) ? input-only port. has a synchronizer with an input enable and clock. the input is level-shifted before it is sent internally to the qadc. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-26 2.3.5.7 an[52:54]/ma[0:2]/pqa[0:2]_[a:b] pin name : a_an52_ma0_pqa0 ? a_an54_ma2_pqa2 (3 pins for first qadc), b_an52_ma0_pqa0 ? b_an54_ma2_pqa2 (3 pins for second qadc). analog input (an[52:54]) ? input-only. the input is passed on as a separate signal to the qadc. multiplexed address (ma[0:2]) ? output. provides a three-bit multiplexed address output to the external multiplexer chip to allow selection of one of the eight inputs. port (pqa[0:2]) ? bi-directional. 2.3.5.8 an[55:59]/pqa[3:7]_[a:b] pin name : a_an55_pqa3 - a_an59_pqa7 (5 pins for first qadc), b_an55_pqa3 ? b_an59_pqa7 (5 pins for second qadc). analog input (an[55:59]) ? input-only. the input is passed on as a separate signal to the qadc. port (pqa[3:7]) ? bi-directional. 2.3.5.9 vrh pin name : vrh vrh ? input pin for high reference voltage for the qadc_a and qadc_b modules. 2.3.5.10 vrl pin name : vrl vrl ? input pin for low reference voltage for the qadc_a and qadc_b modules. 2.3.5.11 vdda pin name : vdda vdda ? power supply input to analog subsystems of the qadc_a and qadc_b mod- ules. 2.3.5.12 vssa pin name : vssa vssa ? input. ground level for analog subsystems of the qadc_a and qadc_b modules. 2.3.6 toucan_a/toucan_b pads 2.3.6.1 cntx0_[a:b] pin name: a_cntx0 (1 pin for first can), b_cntx0 (1 pin for second can) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-27 toucan transmit data 0 ? this signal is the serial data output. 2.3.6.2 cnrx0_[a:b] pin name : a_cnrx0 (1 pin for first can), b_cnrx0 (1 pin for second can) toucan receive data ? this signal furnishes serial input data. 2.3.7 cmf pads 2.3.7.1 epee pin name : epee epee ? input. this control signal will externally control the program or erase opera- tions. 2.3.7.2 vpp pin name : vpp vpp ? input. flash supply voltage (5-v supply) used during program and erase oper- ations of the cmf. 2.3.7.3 vddf pin name : vddf vddf ? flash core voltage input (3-v supply). this separate supply voltage is needed in order to reduce noise in the read path of cmf. 2.3.7.4 vssf pin name : vssf vssf ? flash core zero supply input. this separate supply is needed in order to re- duce noise in the read path of cmf. 2.3.8 global power supplies 2.3.8.1 vddl pin name : vddl vddl ? 3-v voltage supply input. 2.3.8.2 vddh pin name : vddh vddh ? 5-v voltage supply input. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-28 2.3.8.3 vddi pin name : vddi vddi ? 3-v voltage supply input for internal logic. 2.3.8.4 vssi pin name : vssi vssi ? zero supply input for internal logic. in packaged devices, vssi is not a sepa- rate input from vss. 2.3.8.5 kapwr pin name : kapwr keep-alive power ? 3-v voltage supply input for the oscillator and keep-alive regis- ters. 2.3.8.6 vddsram pin name : vddsram sram keep-alive power ? 3-v voltage supply input for the sram. 2.3.8.7 vss pin name : vss vss ? ground level reference input. 2.4 reset state all input pins, with the exception of the power supply and clock related pins, are ?weak- ly pulled? to a value during reset by a 130-microampere resistor based on certain con- ditions. in reset state all i/o pins become inputs, and all outputs except clkout, hreset_b, sreset_b, will be pulled only by the pull-up/pull-down. 2.4.1 pin functionality out of reset the functionality out of reset of some pins that support multiple functionality is defined in the siumcr through the reset configurat ion word. for details on which multiplexed pins are configured by the reset configuration word and how they are configured, refer to 7.5.2 hard reset configuration word . the 3-v related pins have selectable output buffer drive strengths which are controlled by the com[0] bit in the usiu?s system cl ock and reset control regist er (sccr). the control is as follows: f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-29 0 = 3-v bus pins full drive (50-pf load)* 1 = 3-v bus pins reduced drive (25-pf load) * the bus pin drive selectability definition is inverted from the selectability of the pin control in the pdmcr register (for the tpu, qadc, usiu (sgpio), qspi, toucan, qsci, and mios pins). 2.4.2 pad module configur ation register (pdmcr) the slew rate and weak pull-up/pull-down characteristics of some pins are controlled by bits in the pdmcr. this register resides in the siu memory map. the contents of the pdmcr are illustrated below. the poreset signal resets all the pdmcr bits asynchronously. . . note: 1. ftpu_pu is only available on mask set k62n and later. pdmcr ? pad module configuration register 0x2f c03c 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 slrc0 slrc 1 slrc 2 slrc 3 reserved prds sprd s ftpu _pu 1 reserved hard reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 reserved hard reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 2-3 pdmcr bit descriptions bit(s) name description 0slrc0 slrc0 controls the slew rate of the following modules: tpu, qadc, usiu (sgpio). 0 = slow slew rate for pins. controls slew rate pins of 200 ns. 1 = normal slew rate for pins 1slrc1 slrc1 controls the slew rate of the qspi and toucan modules. 0 = slow slew rate for pins. controls slew rate pins of 50 ns. 1 = normal slew rate for pins 2slrc2 slrc2 controls the slew rate of the qsci module. 0 = slow slew rate for pins. controls slew rate pins of 200 ns. 1 = normal slew rate for pins 3slrc3 slrc3 controls the slew rate of the mios module. 0 = slow slew rate for pins. controls slew rate pins of 200 ns. 1 = normal slew rate for pins 4:5 ? reserved 6prds the prds bit is used to enable or disable the weak pull-up/pull-down devices in the pads related to sgpio and all pads related to imb modules. table 2-4 illustrates which pins are affected by prds. 0 = enable pull-up/pull-down devices 1 = disable pull-up/pull-down devices f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-30 2.4.3 pin state during reset during reset, the functionality of some pins is undetermined. their functionality is based on the bits in the siumcr. since the siumcr bits are undetermined during re- set, there is no way of predicting how the pi ns will function. however, the pins must not cause any spurious conditions or consume an excessive amount of power during re- set. to prevent these conditions, the pins need to have a defined reset state. table 2- 4 describes the reset state of the pins based on pin functionality. all pins are initialized to a ?reset state? duri ng reset. this state re mains active until re- set is negated or until software disables the pull-up or pull-down device based on the pin functionality. upon assertion of the corresponding bits in the pin control registers and negation of reset, the pin acquires the functionality that was programmed. 2.4.4 power-on reset and hard reset power-on reset and hard reset affect the functi onality of the pins out of reset. (during soft reset, the functionality of the pins is unaltered.) upon assertion of the power-on reset signal (poreset ) the functionality of the pin is not yet known. the pull-up or pull-down resistors are enabled. the reset configuration word configures the system, and towards the end of reset the pin functionality is known. based upon pin function- ality, the pull-up or pull-down devices are either disabled immediately at the negation of reset or remain enabled. hard reset can occur at any time, and there may be a bus cycle pending. for this rea- son, the bits in pdmcr that control the enabling and disabling of the pull-up or pull- down resistors in the pads are set or reset synchronously. (poreset affects these bits asynchronously.) this causes the pull-up or pull-down resistors to be enabled at a time when they do not cause contention on the pins and are disabled before they can cause any contention on the pins. 2.4.5 pull-up and pull-down enable and disable for 5-v only pins for 5-v only pins, the enabling and disabling of the pull-up and pull-down devices is controlled by the prds bit in pdmcr. if the bit is negated, the devices are active. if the bit is asserted, the devices are inactive. 7 sprds the sprds bit is used to enable or disable the weak pull-up/pull-down devices in special 3-v only bus pads. table 2-4 illustrates which pins are affected by sprds. for more details on how this bit affects the pins see 2.4.7 special pull resistor disable control (sprds) . 0 = enable pull-up/pull-down devices 1 = disable pull-up/pull-down devices 8ftpu_pu follow tpu pull-up ? controls the pull-up devices for all t2clk pins. ftpu_pu is only avail- able on mask set k62n and later. 0 = pull-ups are active when the pins are defined as inputs 1 = pull-ups for the tpu t2clk pins are enabled or disabled based on the state of prds 9:31 ? reserved table 2-3 pdmcr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-31 2.4.6 pull-up and pull-down enable and disable for 3-v / 5-v multiplexed pins two signals are needed to enable or disable the pull-up/pull-down devices in the 3-v / 5-v multiplexed pads:  the prds signal  an encoded 3-v / 5-v select 2.4.6.1 prds signal the prds signal is derived from the prds bit in the pdmcr. a single signal controls all affected pads (all pads related to sgpio and all pads related to the uimb modules). the bit is reset by default (pull-ups enabled) and must be explicitly set by software af- ter reset. the bit is reset immediately following power-on reset and by hard reset after data coherency. this bit is not affected by soft reset. 2.4.6.2 encoded 3-v / 5-v select this signal selects between the 3-v functionality and the 5-v functionality of the pin. 5-v operation is selected until the function of the pin is determined (based on the reset configuration word) and poreset is negated. at this point the 3-v / 5-v select signal assumes the intended state (high for 5 v and low for 3 v). upon hard reset assertion, if the 3-v / 5-v se lect line is in 3-v select mode, it remains in this mode until any external bus access completes. after this the 3-v / 5-v select signal switches to 5-v mode to enable the pull-ups. this ensures that there is no con- tention on the bus due to the pull-up being enabled. this signal is not affected by soft reset. each pad group has a 3-v / 5-v select signal. internal to the pad, logic combines these signals to control the pull-up. 2.4.6.3 examples the combination of this 3-v / 5-v select signal and the resistor disable signal enables or disables the pull-up.the logic to enable the pull-up is: pull_enable = prds & 3-v / 5-v select for example, if a pin is configured as a gpio pin (5 v), the 3-v / 5-v select is high throughout reset. this causes the pull-up to be enabled. at the end of reset, the 3-v / 5-v select line remains high. the prds is high by default until cleared by software. this causes the pull-up to be enabled until software clears the prds bit in the pdm- cr. if a pin is configured as a bus pin (3 v), the 3 v / 5 v remains high throughout reset. this causes the pull-up to be enabled. at the end of reset, the 3-v / 5-v select line goes low. this causes the pull-up to be disabled, preventing any power loss if the mcu starts fetching from external memory immediately out of reset. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-32 2.4.7 special pull resistor disable control (sprds) for the pins that support debug and opcode-tracking functionality, the pull-up and pull- down resistors are controlled by the sprds signal, which is somewhat like the encod- ed 3-v / 5-v select. during reset this signal is used synchronously to enable the pull- up resistors in the pads. on negation of reset, based on which functionality is selected for the pins, this signal is set to disable the pull-up resistors or remains held in its reset state to indicate that the pull-ups are disabled only when the output driver is enabled. for example, if a pin is configured as a bus arbitration pin, the sprds signal remains low throughout reset. this causes the pull-up to be enabled. when reset is released, sprds remains low. the output enable for the driver is negated by default. when the output driver is enabled, the pull-up is disabled. when a pin is configured as an opcode-tracking or debug pin, sprds remains low throughout reset. this causes the pull-up to be enabled. when reset is released, sprds is asserted. this disables the pull-up resistor immediately. the output driver drives the pin to the required state after reset. 2.4.8 pin reset states table 2-4 summarizes the reset states of all the pins on the MPC555 / mpc556. table 2-4 pin reset state pin function port voltage reset state usiu addr[8:31]/ sgpioa[8:31] addr[8:31] i/o 3 v pu5 until reset negates 1 sgpioa[8:31] io 5 v pu5 until prds is set data[0:31]/ sgpiod[0:31] data[0:31] i/o 3 v pd until reset negates sgpiod[0:31] i/o 5 v pd until prds is set irq [0]/sgpioc[0] irq [0] i 3 v pu5 until reset negates 1 sgpioc[0] i/o 5 v pu5 until prds is set irq [1]/ rsv /sgpioc[1] irq [1] i 3 v pu5 until reset negates 1 rsv o3 v pu5 until reset negates 1 sgpioc[1] i/o 5 v pu5 until prds is set irq [2]/ cr /sgpioc[2]/ mts irq [2] i 3 v pu5 until reset negates 1 cr i3 v pu5 until reset negates 1 sgpioc[2] i/o 5 v pu5 until prds is set mts o 3 v pu5 until prds negates irq [3]/ kr , retry / sgpioc[3] irq [3] i 3 v pu5 until reset negates 1 kr , retry i/o 3 v pu5 when driver not enabled 2 sgpioc[3] i/o 5 v pu5 until prds is set irq [4]/ at[2]/ sgpioc[4] irq [4] i 3 v pu5 until reset negates 1 at[2] o 3 v pu5 until reset negates 1 sgpioc[4] i/o 5 v pu5 until prds is set f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-33 irq [5]/sgpioc[5]/ modck[1] 3 irq [5] i 3 v pu5 until reset negates 1 sgpioc[5] i/o 5 v pu5 until prds is set modck[1] i 3 v pu5 until reset negates 1 irq [6:7]/ modck[2:3] 3 irq [6:7] i 3 v pu3 until sprds is set modck[2:3] i 3 v pu3 until reset negates tsiz[0:1] tsiz[0:1] i/o 3 v pd when driver not enabled or until sprds is set rd/wr rd/wr i/o 3 v pu3 when driver not enabled or until sprds is set burst burst i/o 3 v pu3 when driver not enabled or until sprds is set bdip bdip i/o 3 v pu3 when driver not enabled or until sprds is set ts 4 ts i/o 3 v pu3 when driver not enabled or until sprds is set ta 4 ta i/o 3 v pu3 when driver not enabled or until sprds is set tea tea i/o 3 v pu3 when driver not enabled or until sprds is set an external pull-up is required in order to ne- gate the pin in appropriate time rstconf /texp 3 rstconf i 3 v pu3 when driver not enabled or until sprds is set texp o 3 v oe oe o 3 v pu3 until reset negates bi /sts bi4 i/o 3 v pu3 when driver not enabled or until sprds is set sts o3 v cs [0:3] cs [0:3] o 3 v pu3 until reset negates we [0:3]/be [0:3]/ at[0:3] we [0:3]/be [0:3] o 3 v pu3 when driver not enabled or until sprds is set at[0:3] o 3 v poreset 3 poreset i3 v ? hreset 3 hreset i/o 3 v pu3 when driver not enabled or until sprds is set an external pull-up is required in order to ne- gate the pin in appropriate time sreset 3 sreset i/o 3 v pu3 when driver not enabled or until sprds is set an external pull-up is required in order to ne- gate the pin in appropriate time sgpioc[6]/ frz/ ptr sgpioc[6] i/o 5 v pu5 until prds is set frz o 3 v pu5 until reset negates 1 ptr o3 v pu5 until reset negates 1 table 2-4 pin reset state (continued) pin function port voltage reset state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-34 sgpioc[7/ irqout /lwp[0] sgpioc[7] i/o 5 v pu5 until prds is set irqout o3 v pu5 until reset negates 1 lwp[0] o 3 v pu5 until reset negates 1 bg / vf[0]/ lwp[1] bg i/o 3 v pu3 when driver not enabled or until sprds is set vf[0] o 3 v lwp[1] o 3 v br / vf[1]/ iwp[2] br i/o 3 v pu3 when driver not enabled or until sprds is set vf[1] o 3 v iwp[2] o 3 v bb / vf[2]/ iwp[3] bb 4 i/o 3 v pu3 when driver not enabled or until sprds is set vf[2] o 3 v iwp[3] o 3 v iwp[0:1]/ vfls[0:1] iwp[0:1] o 3 v pu3 until reset negates vfls[0:1] o 3 v tms tms i 3 v pu3 until sprds is set tdi/ dsdi tdi i 3 v pu3 until sprds is set dsdi i 3 v tck/ dsck tck i 3 v pd until sprds is set dsck i 3 v tdo/ dsdo tdo o 3 v pu3 until reset negates dsdo o 3 v trst trst i 3 v pu3 until sprds is set xtal 3 xtal i 3 v ? extal 3 extal i 3 v ? xfc xfc i 3 v ? clkout clkout o 3 v ? extclk 3 extclk i 3 v ? engclk/ buclk engclk o 5 v ? buclk o 5 v ? table 2-4 pin reset state (continued) pin function port voltage reset state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-35 qsmcm pcs0/ ss / qgpio[0] pcs0 i/o 5 v pu5 until prds is set ss i/o 5 v qgpio[0] i/o 5 v pcs[1:3]/ qgpio[1:3] pcs[1:3] i/o 5 v pu5 until prds is set qgpio[1:3] i/o 5 v miso/ qgpio[4] miso i/o 5 v pu5 until prds is set qgpio[4] i/o 5 v mosi/ qgpio[5] mosi i/o 5 v pu5 until prds is set qgpio[5] i/o 5 v sck/ qgpio[6] sck i/o 5 v pu5 until prds is set qgpio[6] i/o 5 v txd[1:2]/ qgpo[1:2] txd[1:2] o 5 v pu5 until prds is set qgpo[1:2] o 5 v rxd[1:2]/qgpi[1:2] rxd[1:2] i 5 v pu5 until prds is set qgpi[1:2] i 5 v eck eck i 5 v pu5 until prds is set mios mda[4:13] mda[4:13] i/o 5 v pu5 until prds is set mpwm[0:3], [16:19] mpwm[0:3], [16:19] i/o 5 v pu5 until prds is set vf[0:2]/ mpio32b[0:2] vf[0:2] o 3 v pu5 until prds is set mpio32b[0:2] i/o 5 v vfls[0:1]/ mpio32b[3:4] vfls[0:1] o 3 v pu5 until prds is set mpio32b[3:4] i/o 5 v mpio32b[5:15] mpio32b[5:15] i/o 5 v pu5 until prds is set tpu_a/tpu_b a: tpuch[0:15] tpuch[0:15] i/o 5 v pu5 until prds is set a: t2clk t2clk i/o 5 v pu5 when driver not enabled 2 b: tpuch[0:15] tpuch[0:15] i/o 5 v pu5 until prds is set b: t2clk t2clk i/o 5 v pu5 when driver not enabled 2 qadc_a/qadc_b etrig[1:2] etrig[1:2] i 5 v pd a: an0/anw/pqb0 an0 i 5 v pu5 until prds is set anw i 5 v pu5 until prds is set pqb0 i 5 v pu5 until prds is set a: an1/anx/pqb1 an1 i 5 v pu5 until prds is set anx i 5 v pu5 until prds is set pqb1 i 5 v pu5 until prds is set a: an2/any/pqb2 an2 i 5 v pu5 until prds is set any i 5 v pu5 until prds is set pqb2 i 5 v pu5 until prds is set table 2-4 pin reset state (continued) pin function port voltage reset state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-36 a: an3/anz/pqb3 an3 i 5 v pu5 until prds is set anz i 5 v pu5 until prds is set pqb3 i 5 v pu5 until prds is set a: an[48:51]/ pqb[4:7] an[48:51] i 5 v pu5 until prds is set pqb[4:7] i 5 v pu5 until prds is set a: an[52:54]/ ma[0:2]/pqa[0:2] an[52:54] i 5 v pu5 until prds is set ma[0:2] i 5 v pu5 until prds is set pqa[0:2] i/o 5 v pu5 until prds is set a: an[55:56]]/ pqa[3:4] an[55:56] i 5 v pu5 until prds is set pqa[3:4] i/o 5 v pu5 until prds is set a: an[57:59]/ pqa[5:7] an[57:59] i 5 v pu5 until prds is set pqa[5:7] i/o 5 v pu5 until prds is set b: an0/anw/pqb0 an0 i 5 v pu5 until prds is set anw i 5 v pu5 until prds is set pqb0 i 5 v pu5 until prds is set b: an1/anx/pqb1 an1 i 5 v pu5 until prds is set anx i 5 v pu5 until prds is set pqb1 i 5 v pu5 until prds is set b: an2/any/pqb2 an2 i 5 v pu5 until prds is set any i 5 v pu5 until prds is set pqb2 i 5 v pu5 until prds is set b: an3/anz/pqb3 an3 i 5 v pu5 until prds is set anz i 5 v pu5 until prds is set pqb3 i 5 v pu5 until prds is set b: an[48:51]/ pqb[4:7] an[48:51] i 5 v pu5 until prds is set pqb[4:7] i 5 v pu5 until prds is set b: an[52:54]/ ma[0:2]/pqa[0:2] an[52:54] i 5 v pu5 until prds is set ma[0:2] i 5 v pu5 until prds is set pqa[0:2] i/o 5 v pu5 until prds is set b: an[55:56]/ pqa[3:4] an[55:56] i 5 v pu5 until prds is set pqa[3:4] i/o 5 v pu5 until prds is set b: an[57:59]/ pqa[5:7] an[57:59] i 5 v pu5 until prds is set pqa[5:7] i/o 5 v pu5 until prds is set vrh vrh i 5 v ? vrl vrl i ? ? vdda vdda i 5 v ? vssa vssa i ? ? toucan_a/toucan_b a: cntx0 a_cntx0 o 5 v pu5 until prds is set b: cntx0 b_cntx0 o 5 v pu5 until prds is set a: cnrx0 a_cnrx0 i 5 v pu5 until prds is set b: cnrx0 b_cnrx0 i 5 v pu5 until prds is set table 2-4 pin reset state (continued) pin function port voltage reset state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-37 2.5 pad types there are different pad types based on functional characteristics. even pads with the same functionality may be different due to different electrical characteristics. all 5-v inputs have hysteresis. there is no synchronization in the pads; it is all in the modules. 2.5.1 pad interface signals the pad interface consists of an internal interface and an external interface. the ex- ternal interface is to the pin. the internal interface is the set of signals that interface the pad to the chip?s internal logic. the following internal interface signals are used:  data ? the line driven from an internal module of the chip to the pad. for bi-di- rectional pins, the internal interface may be a single line for both input and output or two separate paths for input and output. the descriptions of individual pad types specify which.  3-v / 5-v select ? selects a 3-v or 5-v driver, for pads that support both. this sig- nal is driven from the usiu.  output enable (oe) ? enables the output driver. for 3-v / 5-v pads, the appropri- ate driver is enabled based on the pin functionality selected.  input enable ? enables the receiver. for 3-v / 5-v pads, the appropriate receiver is enabled based on the pin functionality selected. cmf epee epee i 3 v pd vpp vpp i 5 v ? vddf vddf i 3 v ? vssf vssf i 3 v ? global power supplies vddl vddl i 3 v ? vddh vddh i 5 v ? vddi vddsi i 3 v ? vssi vssi i 3 v ? kapwr 3 kapwr i 3 v ? vddsram vddsram i 3 v ? vddsyn vddsyn i 3 v ? vss vss i ? ? vsssyn vsssyn i 3 v ? notes: 1. during reset, the output enable to the pad driver is negated and the pu3/pu5 is active. after reset is negated, the output enable is continuously enabled and the pu3 is disabled. the driver is responsible for driving a valid state on the pin. 2. pull-up/pull-down is active when pin is defined as an input and/or during reset; therefore, output enable is negated. this also means that external pull-up/pull-down is not required unless specified. 3. these pins are powered by kapwr (keep-alive power supply). 4. this pin is an active negate signal and may need an external pull-up resister. table 2-4 pin reset state (continued) pin function port voltage reset state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-38  drive select ? selects the drive strength of the pad. for example, data pin drivers can be configured to drive a 25-pf load or a 50-pf load.  synchronizer clock ? some pins have synchronizer logic to handle metastable signals at the input of a pin. for pads that have synchronizers and support syn- chronized or normal data input, the corresponding interface signals to the internal logic are ?normal data in? and ?sync data in.?  slew rate control ? gpio pins have slow slew rates, with edge rates in the range of 90 ns to 600 ns. the slew rate and weak pull-up/pull-down characteristics of these pins are controlled by bits in the pdmcr, see 2.4.2 pad module configu- ration register (pdmcr) . for a description of pdmcr bits slrc[0:3] that have controllable slew rates, see table 2-3 .  hysteresis input ? slow pads contains hysteresis input buffers to reduce the sen- sitivity to noises. the input hyst_sel is used to configure the pad to provide hys- teresis according to the pad configuration.  open drain enable ? for selected 3-v / 5-v pads, this signal determines the type of drive (open drain or totem pole) seen at the pin.  pull resistor disable select (prds) ? reflects the state of the prds bit in the pad module configuration register (pdmcr). this signal controls the pull-up/pull- down resistor for the sgpio pins and the pins for the modules on the uimb.  special pull resistor disable select (sprds) ? reflects the state of the sprds bit in the pdmcr. for pins that support bus arbitration functionality multiplexed with opcode-tracking and debug functionality, this signal controls the pull-up re- sistors.  analog ? analog input signals to the qadc. the corresponding digital interface signals are referred to as ?dig. in? and dig. out?.  jtag ? joint test access group relate d signals that are used for connectivity tests at the board level. these signals are not shown in the pad block diagrams in this section. in addition, the effect of the pull-up/pull-down resistors is not illus- trated in the pad block diagrams. these interface signals are referred to in the following pad descriptions and shown in the pad diagrams. 2.5.2 three-volt output pad the output driver of a 3-v output-only pad can be configured to drive a 25-pf or 50-pf load. there are two subtypes: one with a pull-up device and the other with a pull-down device. the sprds and oe signals enable the pull-up and pull-down resistors. 2.5.2.1 type a interface this pad has a pull-up device to 3 v which can be conditionally turned off based on the value placed on oe. for a totem pole (push pull) pin with no three-state drive time, the oe can be connected to vdd, indicating a continuous drive. for a continuous drive, the pull-up can be disabled. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-39 figure 2-3 type a interface 2.5.2.2 type b interface (clock pad) the pad has a capability to se lect the buffer for the appropriate load (45 or 90 pf). the oe input drives the totem pole output or three-states the output. figure 2-4 type b interface 2.5.3 three-volt input pad four subtypes are defined for the 3-v input-only pad: one with a pull-up resistor, one with a pull-up resistor and with or without hysteresis in the receiver, one with hysteresis 3-v driver logic data out pin oe 3 v drive sel sprds 3-v driver logic data out pin oe drive sel f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-40 (no resistor), and one with a pull-down resistor. the sprds signal may disable the pull-up or pull-down resistor. 2.5.3.1 type c interface the type c interface has a 3-v input with a pull-up resistor. figure 2-5 type c interface 2.5.3.2 type ch interface pad type ch has a 3-v input with hysteresis and a pull-up resistor. the hyst_sel signal selects the receiver with or without hysteresis. figure 2-6 type ch interface 3-v receiver pin 3 v data in sprds pin 3 v data in 3 v receiver 3 v hyst_sel sprds f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-41 2.5.3.3 type cnh interface the cnh pad type has a 3-v input with hysteresis but no pull-up or pull-down device. figure 2-7 type cnh interface 2.5.3.4 type d interface this type of pad has a 3-v input and an internal pull-down resistor. figure 2-8 type d interface 2.5.4 three-volt input/output pad this is a 3-v bi-directional pad with a pull-up device. the drive strength for the output driver can be configured for either a 25-pf or a 50-pf load. the sprds and oe sig- nals control the pull-up devices. 3-v receiver pin 3 v data in 3-v receiver pin 3 v data in sprds f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-42 2.5.4.1 type e interface in this pad type the data interface to the internal logic has separate paths for input and output. this pad also has a open drain enable input. for totem pole driven outputs, the signal is connected to vss to disable the open-drain drive. figure 2-9 type e interface 2.5.4.2 type eoh interface in this pad type the data interface to the internal logic has separate paths for input and output. the receiver has hysteresis. the pull-up is active when the driver is not en- abled. 3-v driver 3-v receiver logic data out pin oe ie 3 v data in drive sel od enable sprds f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-43 figure 2-10 3-v ty pe eoh interface 2.5.4.3 type f interface in this pad type the data interface to the internal logic has the same path for both input and output. the pull-up is inactive when the driver is enabled. 3-v od driver 3-v receiver logic data out pin oe ie 3 v data in drive sel sprds f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-44 figure 2-11 type f interface 2.5.4.4 type g interface in this pad type the data interface to the internal logic has the same path for both input and output. this pad type also has the sprds signal as an input to disable the resistor when the pad is a non-bus function. data io pin oe ie 3 v drive sel sprds od driver receiver logic 3-v 3-v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-45 figure 2-12 ty pe g interface 2.5.5 five-volt input/output pad this pad type is for 5-v bi-directional pins. there is provision to pull the pin up to 5 v and logic to control when the pull-up is enabled. for a 5-v driver, the internal ?fast mode? signal selects the slow or fast driver. all 5-v inputs have hyteresis. 2.5.5.1 type h interface this pad has logic for a 3-v output function as well as a 5-v input-output function. a ?3-v / 5-v sel? interface signal determines which driver gets selected. this pad type has two separate data output paths. these paths are multiplexed onto the output pin based on the 3-v / 5-v select signal. this pad also has a dedicated syn- chronous input path. if only one of the output paths is used on a device, the other can be connected to ground. in this case, the 3-v / 5-v select signal must be tied to the appropriate value to disable the other path. data out pin oe ie 3 v data in drive sel sprds driver receiver logic 3-v 3-v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-46 figure 2-13 type h interface 2.5.5.2 type i interface this pad has logic for a 3-v input/output function as well as a 5-v input/output function. a ?3-v / 5-v sel? interface signal indicates which driver gets selected.the data inter- face to the internal logic has separate paths for input and output. 3 v driver receiver logic 5 v data out pin oe synch. clk 3-v / 5-v sel prds 5 v synch. drive sel 3 v data out data in slrc 5 v synch. 5-v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-47 figure 2-14 type i interface 2.5.5.3 type ih interface this pad has logic for a 3-v input/output function as well as a 5-v input/output function. a ?3-v / 5-v sel? interface signal determines which driver gets selected. in this pad type the data interface to the internal logic has separate paths for input and output. the 3-v receiver has 2 possible paths: with or without hysteresis. the hyst_sel signal selects the appropriate path. 3 v driver 3 v receiver logic data out pin oe ie 3-v / 5-v sel prds 5 v data in drive sel slrc 5 v 5 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-48 figure 2-15 type ih interface 2.5.5.4 type j interface this pad has logic for a 3-v input/output function as well as a 5-v input/output function. a ?3-v / 5-v sel? interface signal indicates which driver gets selected. the data inter- face to the internal logic has the same path for both input and output. 5 v 3 v driver 5 v 3 v receiver logic data out pin oe ie 3-v / 5-v sel prds 5 v data in drive sel 3 v hyst_sel slrc f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-49 figure 2-16 type j interface 2.5.5.5 type jd interface this pad has logic for a 3-v input/output function as well as a 5-v input/output function. a ?3-v / 5-v sel? interface signal indicates which driver gets selected. the data interface to the internal logic has the same path for both input and output. the pad has a pull-down resistor which is activated by reset and/or prds. 5 v 3 v driver 5 v 3 v receiver logic pin 5 v prds 3-v / 5-v sel data oe drive sel slrc ie f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-50 figure 2-17 type jd interface 2.5.6 type k interface (epee pad) this pad has a pull-down device that is enab led at all times. the module checks to see that a transition to a new state on the pin is maintained for at least two clocks before the information is passed on internally to the sequencer implemented in the flash. the synchronizer clock to this pad is gclk2. 5 v 3 v driver 3 v receiver logic data pin oe ie 3-v / 5-v sel prds drive sel slrc 5 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-51 figure 2-18 epee pad (type k) 2.5.7 analog pads the 5-v analog pads interface to the qadc modules internally. they have separate analog and digital paths in the pad in order to implement the functionality that is mul- tiplexed on the pin. 2.5.7.1 type l interface (qadc port a) this pad is used for interfacing to the port a of the qadc. the digital portion of the pad supports bi-directional operation. the receiver has a synchronizer. the digital in- put is level-shifted from 5 v to 3 v before it is sent internally to the qadc. data pin synch. clk sequencer 1 1 0 0 0 0 1 1 1 1 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-52 figure 2-19 type l interface 2.5.7.2 type m interface (qadc port b) this pad is used for interfacing to port b of the qadc. this is an input-only pad. the receiver has a synchronizer. the digital input is level-shifted from 5 v to 3 v before it is sent internally to the qadc. figure 2-20 type m interface pin analog od driver synch. rx digital level shifter analog in dig. out data direction dig. in input enable sync. clk prds 5 v pin analog synch. rx digital level shifter analog in dig. in input enable sync. clk prds prds 5 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-53 2.5.7.3 type n interface (etrig) this is the pad for the etrig function of the qadc. the input signal is level-shifted before being sent to the qadc module. the pad also serves as an output pad in test mode. figure 2-21 type n interface 2.5.8 pads with fast mode the type o pads (for interfacing to the qsmcm) and type p pads (for interfacing to the tpu and mios) have a fast mode provision. 2.5.8.1 type o interface (qsmcm pads) this pad is used for interfacing to the qsmcm. it is a 5-v, bi-directional pad and has provision for a fast mode in which the slow slew rate driver is bypassed and data is driven by a fast slew rate driver. when the pin is an input, the data can be driven either synchronously or asynchronously. a pull-up device is available which can be disabled using the prds signal. pin sync. clk synch. rx digital dig. in input enable 5 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-54 figure 2-22 ty pe o interface 2.5.8.2 type p interfac e (tpu and mios pads) this is a 5-v, bi-directional pad that has a fast mode provision like the qsmcm pads. the input path is always synchronous. the receiver has hysteresis in order to mini- mize the effect of noise on the pins. in addi tion, the receiver has a digital filter (some- what like the sequencer for the epee pad) to check for a state on the pin for a particular number of clocks. the pad also has a pull-up device. depending on the reset state (see table 2-4 ) the pull-up may be controlled by the prds signal. driver receiver logic pin 5 v driver slow fast receiver 5-v 5-v 5-v synch. 5-v slrc od enable prds data out oe normal data in synch. data in synch. clk f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-55 figure 2-23 type p interface 2.5.9 5v input, 5v output pads these pads are 5-v only pads. 2.5.9.1 5v output (type q) this pad is a 5-v output-only pad with slow and fast drive capability. the driver is con- figureable to be either push pull or open drain using the od enable signal. this pad type has a pull-up device that can be controlled using the prds signal. driver logic pin 5 v driver slow fast hysteresis 5-v receiver 5-v 5-v synch. slrc prds data out oe synch. clk synch. data in f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-56 figure 2-24 ty pe q interface 2.5.9.2 type r interface this is a 5-v input-only pad with a synchronous and asynchronous receiver. both syn- chronous and asynchronous data are driven in from the internal module that interfaces to this pad. a pull-up device can be controlled using the prds signal. figure 2-25 type r interface logic pin 5 v driver driver slow fast 5-v 5-v prds od enable data out oe slrc receiver pin synch. clk 5v normal receiver synch. data in data in prds 5-v synch. 5-v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-57 2.5.9.3 5v output for clock pad this interface is used for a 5-v clock pad output. the drive select signal selects the buffer for a 45- or 90-pf load. figure 2-26 type s interface 2.6 pad groups a pad group is a set of pins that exhibits similar functional characteristics. within a group the individual pads may be of different types. the functionality of some pins is defined based on the control bits that are set in the siumcr from the reset configura- tion word. refer to the section on pin functi onality out of reset in the reset section of the document. the following is a list of pad groups which were obtained based on the 3-v / 5-v se- lection from the information in the ?pin configuration out of reset? tables. in other words, each group receives a different encoded 3-v / 5-v select signal. all pins that drive 3 v have the provision to choose between drive strengths for a 25- pf load or a 50-pf load. table 2-5 pad groups ba sed on 3-v / 5-v select group pins 1 frz/ptr/sgpioc[6], sgpio[7]/irqout /lwp[0] 2 data[0:31]/sgpiod[0:31] 3 addr[8:31]/sgpioa[8:31] 4irq [0]/sgpioc[0], irq [1]/sgpioc[1], irq [4]/sgpioc[4] 5irq [2]/sgpioc[2], irq [3]/sgpioc[3], irq [5]/sgpioc[5] driver logic data out pin oe drive sel 5-v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-58 2.7 pin names and abbreviations the following table lists the recommended abbreviations for all the pins on the MPC555 / mpc556. the abbreviations can be used in applications for which the actual name is too long. for example, they can be used to on circuit boards to map the pin location on the boards. table 2-6 pin names and abbreviations pin list pin name abbreviation ball addr[8:31]/sgpioa[8:31] addr_sgpioa[8] addr_sgp[8] v6 addr_sgpioa[9] addr_sgp[9] v5 addr_sgpioa[10] addr_sgp[10] v4 addr_sgpioa[11] addr_sgp[11] v3 addr_sgpioa[12] addr_sgp[12] w1 addr_sgpioa[13] addr_sgp[13] y2 addr_sgpioa[14] addr_sgp[14] w3 addr_sgpioa[15] addr_sgp[15] y3 addr_sgpioa[16] addr_sgp[16] w4 addr_sgpioa[17] addr_sgp[17] y4 addr_sgpioa[18] addr_sgp[18] w5 addr_sgpioa[19] addr_sgp[19] y5 addr_sgpioa[20] addr_sgp[20] w6 addr_sgpioa[21] addr_sgp[21] y6 addr_sgpioa[22] addr_sgp[22] v7 addr_sgpioa[23] addr_sgp[23] w7 addr_sgpioa[24] addr_sgp[24] y7 addr_sgpioa[25] addr_sgp[25] y8 addr_sgpioa[26] addr_sgp[26] w8 addr_sgpioa[27] addr_sgp[27] v8 addr_sgpioa[28] addr_sgp[28] u8 addr_sgpioa[29] addr_sgp[29] u9 addr_sgpioa[30] addr_sgp[30] u7 addr_sgpioa[31] addr_sgp[31] u6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-59 data[0:31]/sgpiod[0:31] data_sgpiod[0] data_sgp[0] y9 data_sgpiod[1] data_sgp[1] w9 data_sgpiod[2] data_sgp[2] y10 data_sgpiod[3] data_sgp[3] w10 data_sgpiod[4] data_sgp[4] y11 data_sgpiod[5] data_sgp[5] w11 data_sgpiod[6] data_sgp[6] y12 data_sgpiod[7] data_sgp[7] w12 data_sgpiod[8] data_sgp[8] y13 data_sgpiod[9] data_sgp[9] w13 data_sgpiod[10] data_sgp[10] y14 data_sgpiod[11] data_sgp[11] w14 data_sgpiod[12] data_sgp[12] y15 data_sgpiod[13] data_sgp[13] w15 data_sgpiod[14] data_sgp[14] y16 data_sgpiod[15] data_sgp[15] w16 data_sgpiod[16] data_sgp[16] y17 data_sgpiod[17] data_sgp[17] w17 data_sgpiod[18] data_sgp[18] v17 data_sgpiod[19] data_sgp[19] v16 data_sgpiod[20] data_sgp[20] u16 data_sgpiod[21] data_sgp[21] v15 data_sgpiod[22] data_sgp[22] v14 data_sgpiod[23] data_sgp[23] u14 data_sgpiod[24] data_sgp[24] v13 data_sgpiod[25] data_sgp[25] u13 data_sgpiod[26] data_sgp[26] v12 data_sgpiod[27] data_sgp[27] u12 data_sgpiod[28] data_sgp[28] v11 data_sgpiod[29] data_sgp[29] u11 data_sgpiod[30] data_sgp[30] v10 data_sgpiod[31] data_sgp[31] v9 irq [0]/sgpioc[0] irq0_b_sgpioc0 irq0b_sgp m1 irq [1]/rsv /sgpioc[1] irq1_b_rsv_b_sgpioc1 irq1b_sgp m2 irq [2]/cr /sgpioc[2]/mts irq2_b_cr_b_sgpioc2_mts irq2b_sgp m3 irq [3]/kr , retry /sgpioc[3] irq3_b_kr_b_retry_b_sgpioc3 irq3b_sgp l3 irq [4]/at[2]/sgpioc[4] irq4_b_at2_sgpioc4 irq4b_sgp l4 irq [5]/sgpioc[5]/modck[1] irq5_b_sgpioc5_modck1 irq5b_sgp w18 irq [6:7]/modck[2:3] irq6_b_modck2 irq6b_mck2 y18 irq7_b_modck3 irq7b_mck3 y19 tsiz[0:1] tsiz0 tsiz0 u1 tsiz1 tsiz1 t3 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-60 rd/ wr rd_wr_b rd_wrb r1 burst burst_b burstb v1 bdip bdip_b bdipb u4 ts ts_b tsb u3 ta ta_b tab u2 tea tea_b teab t2 rstconf /texp rstconf_b_texp rcfb_txp u17 oe oe_b oeb t1 bi /sts bi_b_sts_b bib_stsb v2 cs [0:3] cs0_b cs0b p4 cs1_b cs1b r4 cs2_b cs2b r3 cs3_b cs3b r2 we [0:3]/be [0:3]/at[0:3] we0_b _ be0_b_at0 web_at[0] n1 we1_b _ be1_b_at1 web_at[1] p1 we2_b _ be2_b_at2 web_at[2] p2 we3_b _ be3_b_at3 web_at[3] p3 poreset poreset_b poresetb v19 hreset hreset_b hresetb w20 sreset sreset_b sresetb v20 sgpioc[6]/frz/ptr / sgpioc6_frz_ptr_b sgp_frz k3 sgpioc[7]/irqout /lwp[0] sgpioc7_irqout_b_lwp0 sgp_irqoutb m4 bg /vf[0]/lwp[1] bg_b_vf0_lwp1 bgb_lwp1 n3 br /vf[1]/iwp[2] br_b_vf1_iwp2 brb_iwp2 n2 bb /vf[2]/iwp[3] bb_b_vf2_iwp3 bbb_iwp3 n4 iwp[0:1]/vfls[0:1] iwp0_vfls0 iwp0_vfls l2 iwp1_vfls1 iwp1_vfls l1 tms tms tms k1 tdi/dsdi tdi_dsdi tdi_dsdi k2 tck/dsck tck_dsck tck_dsck j1 tdo/dsdo tdo_dsdo tdo_dsdo j2 trst trst_b trst_b j3 xtal xtal xtal u20 extal extal extal t20 xfc xfc xfc r19 clkout clkout clkout v18 extclk extclk extclk u18 vddsyn vddsyn vddsyn r20 vsssyn vsssyn vsssyn t19 engclk/buclk engclk_buclk eck_buck u19 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-61 qsmcm pcs0/ss /qgpio[0] pcs0_ss_b_qgpio0 pcs0_qgp l18 pcs[1:3]/qgpio[1:3] pcs1_qgpio1 pcs1_qgp l17 pcs2_qgpio2 pcs2_qgp m18 pcs3_qgpio3 pcs3_qgp m17 miso/qgpio[4] miso_qgpio4 miso_qgp4 l19 mosi/qgpio[5] mosi_qgpio5 mosi_qgp5 l20 sck/qgpio[6] sck_qgpio6 sck_qgp6 m20 txd[1:2]/qgpo[1:2] txd1_qgpo1 txd1_qgpo n18 txd2_qgpo2 txd2_qgpo n20 rxd[1:2]/qgpi[1:2] rxd1_qgpi1 rxd1_qgpi n17 rxd2_qgpi2 rxd2_qgpi n19 eck eck eck m19 mios mda[11:15] mda11 mda11 a17 mda12 mda12 a18 mda13 mda13 a19 mda14 mda14 b17 mda15 mda15 b18 mda[27:31] mda27 mda27 c17 mda28 mda28 b20 mda29 mda29 c18 mda30 mda30 c19 mda31 mda31 c20 mpwm[0:3], [16:19] mpwm0 mpwm0 e17 mpwm1 mpwm1 d18 mpwm2 mpwm2 d19 mpwm3 mpwm3 d20 mpwm16 mpwm16 f17 mpwm17 mpwm17 e18 mpwm18 mpwm18 f18 mpwm19 mpwm19 e19 vf[0:2]/mpio32b[0:2] vf0_mpio32b0 vf0_mpio0 j19 vf1_mpio32b1 vf1_mpio1 j20 vf2_mpio32b2 vf2_mpio2 j17 vfls[0:1]/mpio32b[3:4] vfls0_mpio32b3 vfls0_mpio3 j18 vfls1_mpio32b4 vfls1_mpio4 k18 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-62 mpio32b[5:15] mpio32b5 mpio5 g17 mpio32b6 mpio6 e20 mpio32b7 mpio7 f19 mpio32b8 mpio8 g18 mpio32b9 mpio9 f20 mpio32b10 mpio10 h17 mpio32b11 mpio11 g19 mpio32b12 mpio12 g20 mpio32b13 mpio13 h20 mpio32b14 mpio14 h19 mpio32b15 mpio15 h18 tpu_a/tpu_b a: tpuch[0:15] a_tpuch0 a_tpuch0 d3 a_tpuch1 a_tpuch1 a2 a_tpuch2 a_tpuch2 d4 a_tpuch3 a_tpuch3 c3 a_tpuch4 a_tpuch4 a3 a_tpuch5 a_tpuch5 d5 a_tpuch6 a_tpuch6 b3 a_tpuch7 a_tpuch7 c4 a_tpuch8 a_tpuch8 a4 a_tpuch9 a_tpuch9 c5 a_tpuch10 a_tpuch10 b4 a_tpuch11 a_tpuch11 b5 a_tpuch12 a_tpuch12 a5 a_tpuch13 a_tpuch13 c6 a_tpuch14 a_tpuch14 b6 a_tpuch15 a_tpuch15 a6 a: t2clk a_t2clk a_t2clk c2 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-63 b: tpuch[0:15] b_tpuch0 b_tpuch0 h2 b_tpuch1 b_tpuch1 h1 b_tpuch2 b_tpuch2 g1 b_tpuch3 b_tpuch3 g2 b_tpuch4 b_tpuch4 g3 b_tpuch5 b_tpuch5 f1 b_tpuch6 b_tpuch6 f2 b_tpuch7 b_tpuch7 e1 b_tpuch8 b_tpuch8 f3 b_tpuch9 b_tpuch9 g4 b_tpuch10 b_tpuch10 e2 b_tpuch11 b_tpuch11 d1 b_tpuch12 b_tpuch12 f4 b_tpuch13 b_tpuch13 d2 b_tpuch14 b_tpuch14 e3 b_tpuch15 b_tpuch15 c1 b: t2clk b_t2clk b_t2clk b1 qadc_a/qadc_b etrig[1:2] etrig1 etrig1 c16 etrig2 etrig2 b16 a: an0/anw/pqb0 a_an0_anw_pqb0 aan0_pqb0 a8 a: an1/anx/pqb1 a_an1_anx_pqb1 aan1_pqb1 d8 a: an2/any/pqb2 a_an2_any_pqb2 aan2_pqb2 c8 a: an3/anz/pqb3 a_an3_anz_pqb3 aan3_pqb3 b8 a: an[48:51]/pqb[4:7] a_an48_pqb4 aan48_pqb4 a9 a_an49_pqb5 aan49_pqb5 b9 a_an50_pqb6 aan50_pqb6 d9 a_an51_pqb7 aan51_pqb7 c9 a: an[52:54]/ma[0:2]/pqa[0:2] a_an52_ma0_pqa0 aan52_pqa0 a10 a_an53_ma1_pqa1 aan53_pqa1 b10 a_an54_ma2_pqa2 aan54_pqa2 a11 a: an[55:56]]/pqa[3:4] a_an55_pqa3 aan55_pqa3 d10 a_an56_pqa4 aan56_pqa4 c10 a: an[57:59]/pqa[5:7] a_an57_pqa5 aan57_pqa5 b11 a_an58_pqa6 aan58_pqa6 d11 a_an59_pqa7 aan59_pqa7 c11 b: an0/anw/pqb0 b_an0_anw_pqb0 ban0_pqb0 a12 b: an1/anx/pqb1 b_an1_anx_pqb1 ban1_pqb1 b12 b: an2/any/pqb2 b_an2_any_pqb2 ban2_pqb2 a13 b: an3/anz/pqb3 b_an3_anz_pqb3 ban3_pqb3 a14 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 signal descriptions motorola user?s manual rev. 15 october 2000 2-64 b: an[48:51]/pqb[4:7] b_an48_pqb4 ban48_pqb4 b13 b_an49_pqb5 ban49_pqb5 c12 b_an50_pqb6 ban50_pqb6 d12 b_an51_pqb7 ban51_pqb7 a15 b: an[52:54]/ma[0:2]/pqa[0:2] b_an52_ma0_pqa0 ban52_pqa0 b14 b_an53_ma1_pqa1 ban53_pqa1 c13 b_an54_ma2_pqa2 ban54_pqa2 b15 b: an[55:56]/pqa[3:4] b_an55_pqa3 ban55_pqa3 d13 b_an56_pqa4 ban56_pqa4 c14 b: an[57:59]/pqa[5:7] b_an57_pqa5 ban57_pqa5 c15 b_an58_pqa6 ban58_pqa6 d14 b_an59_pqa7 ban59_pqa7 d15 vrh vrh vrh b7 vrl vrl vrl a7 vdda vdda vdda c7 vssa vssa vssa d7 toucan_a/toucan_b a: cntx0 a_cntx0 a_cntx0 k19 b: cntx0 b_cntx0 b_cntx0 h4 a: cnrx0 a_cnrx0 a_cnrx0 k20 b: cnrx0 b_cnrx0 b_cnrx0 h3 cmf epee epee epee p18 vpp vpp vpp p17 vddf vddf vddf r18 vssf vssf vssf p19 global power supplies vddl vddl vddl d17, e4, k4, k17, r17. t4, u10, u15 vddh vddh vddh a1, a16, a20, b2, b19, p20, y1, y20, w2, w19 vddi vddi vddi t17, u5, d6, d16 kapwr kapwr kapwr t18 vddsram vddsram vddsram j4 vss vss vss j9, j10, j11, j12, k9, k10, k11, k12, l9, l10, l11, l12, m9, m10, m11, m12 table 2-6 pin name s and abbreviations (continued) pin list pin name abbreviation ball f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-1 section 3 central processing unit the powerpc-based risc processor (rcpu) used in the mpc500 family of micro- controllers integrates five independent execution units: an integer unit (iu), a load/ store unit (lsu), and a branch processing unit (bpu), floating-point unit (fpu) and in- teger multiplier divider (imd). the use of simple instructions with rapid execution times yields high efficiency and throughp ut for MPC555 / mpc556-based systems. most integer instructions execute in one cl ock cycle. instructions can complete out of order for increased performance; however, the processor makes execution appear se- quential. this section provides an overview of the rcpu. for a detailed description of this pro- cessor, refer to the rcpu reference manual (rcpurm/ad) . 3.1 rcpu features major features of the rcpu include the following:  high-performance microprocessor ? single clock-cycle execut ion for many instructions  five independent execution units and two register files ? independent lsu for load and store operations ? bpu featuring static branch prediction ? a 32-bit iu ? fully ieee 754-compliant fpu for both single- and double-precision opera- tions ? thirty-two general-purpose registers (gprs) for integer operands ? thirty-two floating-point registers (fprs) for single- or double-precision oper- ands  facilities for enhanced system performance ? programmable big- and little-endian byte ordering ? atomic memory references  in-system testability and debug ging features  high instruction and data throughput ? condition register (cr) look-ahead operations performed by bpu ? branch-folding c apability during execution (zer o-cycle branch execution time) ? programmable static branch prediction on unresolved conditional branches ? a pre-fetch queue that can hold up to four instructions, providing look-ahead capability ? interlocked pipelines with feed-forwarding that control data dependencies in hardware f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-2 3.2 rcpu block diagram figure 3-1 provides a block diagram of the rcpu. figure 3-1 rcpu block diagram control bus fpu fpr history fpr (32 x 64) load/store floating data load/ integer store data load/ address store alu/ bfu imul/ idiv gpr history gpr (32 x 32) control regs next address generation branch unit processor instruction queue pre-fetch instruction sequencer rcpu l-data l-addr source buses (4 slots/clock) i-data i-addr write back bus 2 slots/clock f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-3 3.3 instruction sequencer the instruction sequencer provides centralized control over data flow between execu- tion units and register files. the sequencer implements the basic instruction pipeline, fetches instructions from the memory syst em, issues them to available execution units, and maintains a state history so it can back the machine up in the event of an exception. the instruction sequencer fetches instructions from the burst buffer controller into the instruction pre-fetch queue. the bpu extracts branch instructions from the pre-fetch queue and uses static branch prediction on unresolved conditional branches to allow the instruction unit to fetch instructions from a predicted target instruction stream while a conditional branch is evaluated. the bpu folds out branch instructions for uncondi- tional branches or conditional branches unaffected by instructions in the execution stage. instructions issued beyond a predicted branch do not complete execution until the branch is resolved, preserving the programming model of sequential execution. if branch prediction is incorrect, the instruction unit flushes all predicted path instruc- tions, and instructions are issued from the correct path. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-4 figure 3-2 sequencer data path 3.4 independent execution units the powerpc architecture supports independent floating-point, integer, load/store, and branch processing execution units, making it possible to implement advanced fea- tures such as look-ahead operations. for example, since branch instructions do not depend on gprs, branches can often be resolved early, eliminating stalls caused by taken branches. table 3-1 summarizes the rcpu execution units. instruction address generator cc unit 32 32 read write buses branch instruction buffer 32 instruction memory system execution units and registers files condition evaluation instruction pre-fetch queue f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-5 the following sections describe the execution units in greater detail. 3.4.1 branch processing unit (bpu) the bpu, located within the instruction sequencer, performs condition register look- ahead operations on conditional branches. the bpu looks through the instruction queue for a conditional branch instruction and attempts to resolve it early, achieving the effect of a zero-cycle branch in many cases. the bpu uses a bit in the instruction encoding to predict the direction of the conditional branch. therefore, when an unresolved conditional branch instruction is encountered, the processor pre-fetches instructions from the predicted target stream until the con- ditional branch is resolved. the bpu contains an calculation feature to compute branch target addresses and three special-purpose, user-accessible registers: the link register (lr), the count reg- ister (ctr), and the condition register (cr). the bpu calculates the return pointer for subroutine calls and saves it into the lr. the lr also contains the branch target ad- dress for the branch conditional to link register ( bclr x ) instruction. the ctr contains the branch target address for the branch conditional to count register ( bcctr x ) instruc- tion. the contents of the lr and ctr can be copied to or from any gpr. because the bpu uses dedicated registers rather than general-purpose or floating-point registers, execution of branch instructions is independent from execution of integer instructions. 3.4.2 integer unit (iu) the iu executes all integer processor instructions, except the integer storage access instructions, which are implemented by the load/store unit. the iu contains the follow- ing subunits:  the imul?idiv unit includes the implementation of the integer multiply and divide instructions.  the alu?bfu unit includes the implementation of all integer logic, add and sub- tract, and bit field instructions. table 3-1 rcpu execution units unit description branch processing unit (bpu) includes the implementation of all branch instructions load/store unit (lsu) includes implementation of all load and store instructions, whether defined as part of the integer processor or the floating-point processor integer unit (iu) includes implementation of all integer instructions except load/store instructions. this module includes the gprs (including gpr history and scoreboard) and the following subunits: the imul-idiv includes the implementation of the integer multiply and divide in- structions. the alu-bfu includes implementation of all integer logic, add and subtract in- structions, and bit field instructions. floating-point unit (fpu) includes the fprs (including fpr history and scoreboard) and the implementa- tion of all floating-point instructions except load and store floating-point instruc- tions f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-6 the iu also includes the integer exception register (xer) and the general-purpose register file. imul?idiv and alu?bfu are implemented as separate execution units. the alu? bfu unit can execute one instruction per cl ock cycle. imul?idiv instructions require multiple clock cycles to execute. imul?idiv is pipelined for multiply instructions, so that consecutive multiply instructions can be issued on consecutive clock cycles. di- vide instructions are not pipelined; an integer divide instruction preceded or followed by an integer divide or multiply instructio n results in a stall in the processor pipeline. note that since imul?idiv and alu?bfu are implemented as separate execution units, an integer divide instruction preced ed or followed by an alu?bfu instruction does not cause a delay in the pipeline. 3.4.3 load/store unit (lsu) the load/store unit handles all data transfer between the general-purpose register file and the internal load/store bus (l-bus). the load/store unit is implemented as an inde- pendent execution unit so that stalls in the memory pipeline do not cause the master instruction pipeline to stall (unless there is a data dependency). the unit is fully pipe- lined so that memory instructions of any size may be issued on back-to-back cycles. there is a 32-bit wide data path between the load/store unit and the general-purpose register file. single-word accesses can be achieved with an internal on-chip data ram, resulting in two clocks laten cy. double-word accesses requ ire two clocks, resulting in three clocks latency. since the l-bus is 32 bits wide, double-word transfers require two bus accesses. the load/store unit performs zero-fill for byte and half-word transfers and sign extension for half-word transfers. addresses are formed by adding the source one register operand specified by the in- struction (or zero) to either a source two register operand or to a 16-bit, immediate val- ue embedded in the instruction. 3.4.4 floating-point unit (fpu) the fpu contains a double-precision multiply array, the floating-point status and con- trol register (fpscr), and the fprs. the multiply-add array allows the MPC555 / mpc556 to efficiently implement floating-point operations such as multiply, multiply- add, and divide. the MPC555 / mpc556 depends on a software envelope to fully implement the ieee floating-point specification. overflows, underflows, nans, and denormalized numbers cause floating-point assist exceptions that invoke a software routine to deliver (with hardware assistance) th e correct ieee result. to accelerate time-critical operations and make them more deterministic, the MPC555 / mpc556 provides a mode of operation that avoids invoking the software envelope and attempts to deliver results in hardware that are adequate for most applications, if not in strict conformance with ieee standards. in this mode, denormalized numbers, nans, and ieee invalid operations are treated as legitimate, returning default results rather than causing floating-point assist exceptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-7 3.5 levels of the powerpc architecture the powerpc architecture consists of thre e layers. adherence to the powerpc archi- tecture can be measured in terms of which of the following levels of the architecture are implemented:  powerpc user instruction set architecture (uisa) ? defines the base user-level instruction set, user-level registers, data types, floating-point exception model, memory models for a uniprocessor environment, and programming model for a uniprocessor environment.  powerpc virtual environment architectu re (vea) ? describes the memory model for a multiprocessor environment, and describes other aspects of virtual environ- ments. implementations that conform to the vea also adhere to the uisa, but may not necessarily adhere to the oea.  powerpc operating environment architecture (oea) ? defines the memory man- agement model, supervisor-level registers, synchronization requirements, and the exception model. implementations that conform to the oea also adhere to the uisa and the vea. 3.6 rcpu programming model the powerpc architecture defines register-t o-register operations for most computa- tional instructions. source operands for these instructions are accessed from the reg- isters or are provided as immediate values embedded in the instruction opcode. the three-register instruction format allows specification of a target register distinct from the two source operands. load and store instructions transfer data between memory and on-chip registers. powerpc processors have two levels of privilege: supervisor mode of operation (typi- cally used by the operating environment) and user mode of operation (used by the ap- plication software). the programming models incorporate 32 gprs, special-purpose registers (sprs), and seve ral miscellaneous registers. supervisor-level access is provided through the processor?s exception mechanism. that is, when an exception is taken (either due to an error or problem that needs to be serviced, or deliberately through the use of a trap instruction), the processor begins operating in supervisor mode. the level of access is indicated by the privilege-level (pr) bit in the machine state register (msr). figure 3-3 shows the user-level and supervisor-level rcpu programming models and also illustrates the three levels of the po werpc architecture. th e numbers to the left of the sprs indicate the decimal number that is used in the syntax of the instruction operands to access the register. note that registers such as the genera l-purpose registers (gprs) are accessed through operands that are part of the instructions. access to registers can be explicit (that is, through the use of specific instructions for that purpose such as move to spe- cial-purpose register ( mtspr ) and move from special-purpose register ( mfspr ) instruc- tions) or implicitly as the part of the execution of an instruction. some registers are accessed both explicitly and implicitly. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-8 figure 3-3 rcpu programming model table 3-2 lists the MPC555 / mpc556 supervisor-level registers. msr supervisor-level sprs user model vea supervisor model oea machine state register development support sprs condition register floating-point status and control register fpscr cr 0 31 031 0 31 gpr0 gpr1 gpr31 user-level sprs integer exception register (xer) link register (lr) count register (ctr) 0 31 0 63 031 time base lower ? read (tbl) time base upper ? read (tbu) time base facility (for reading) user model uisa fpr0 fpr1 fpr31 see table 3-2 for list of supervisor-level sprs. see table 3-3 for list of development-support sprs. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-9 table 3-2 supervisor-level sprs spr number (decimal) special-purpose register 18 dae/source instruction service register (dsisr) see 3.9.2 dae/source instruction service register (dsisr) for bit descriptions. 19 data address register (dar) see 3.9.3 data address register (dar) for bit descrip- tions. 22 decrementer register (dec) see 3.9.5 decrementer register (dec) for bit descrip- tions. 26 save and restore register 0 (srr0) see 3.9.6 machine status save/restore register 0 (srr0) for bit descriptions. 27 save and restore register 1 (srr1) see 3.9.7 machine status save/restore register 1 (srr1) for bit descriptions. 80 external interrupt enable (eie) 1 see 3.9.10.1 eie, eid, and nri special-purpose reg- isters for bit descriptions. 81 external interrupt disable (eid) 1 see 3.9.10.1 eie, eid, and nri special-purpose reg- isters for bit descriptions. 82 non-recoverable interrupt (nri) 1 see 3.9.10.1 eie, eid, and nri special-purpose reg- isters for bit descriptions. 272 spr general 0 (sprg0) see 3.9.8 general sprs (sprg0?sprg3) for bit de- scriptions. 273 sprgeneral 1 (sprg1) see 3.9.8 general sprs (sprg0?sprg3) for bit de- scriptions. 274 spr general 2 (sprg2) see 3.9.8 general sprs (sprg0?sprg3) for bit de- scriptions. 275 spr general 3 (sprg3) see 3.9.8 general sprs (sprg0?sprg3) for bit de- scriptions. 284 time base lower ? write (tbl) see table 3-14 for bit descriptions. 285 time base upper ? write (tbu) see table 3-14 for bit descriptions. 287 processor version register (pvr) see table 3-16 for bit descriptions. 528 impu global region attribute (mi_gra) 1 see table 4-7 for bit descriptions. 536 l2u global region attribute (l2u_gra)1 see table 11-10 for bit descriptions. 560 bbc module configuration register (bbcmcr)1 see table 4-8 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-10 table 3-3 lists the MPC555 / mpc556 sprs used for development support. 568 l2u module configuration register (l2u_mcr) 1 see table 11-7 for bit descriptions. 784 impu region base address 0 (mi_rba0)1 see table 4-5 for bit descriptions. 785 impu region base address 1 (mi_rba1)1 see table 4-5 for bit descriptions. 786 impu region base address 2 (mi_rba2)1 see table 4-5 for bit descriptions. 787 impu region base address 3 (mi_rba3) 1 see table 4-5 for bit descriptions. 792 l2u region base address register 0 (l2u_rba0)1 see table 11-8 for bit descriptions. 793 l2u region base address register 1 (l2u_rba1)1 see table 11-8 for bit descriptions. 794 l2u region base address register 2 (l2u_rba2)1 see table 11-8 for bit descriptions. 795 l2u region base address register 3 (l2u_rba3)1 see table 11-8 for bit descriptions. 816 impu region attribute register 0 (mi_ra0)1 see table 4-6 for bit descriptions. 817 impu region attribute register 1 (mi_ra1)1 see table 4-6 for bit descriptions. 818 impu region attribute register 2 (mi_ra2)1 see table 4-6 for bit descriptions. 819 impu region attribute register 3 (mi_ra3)1 see table 4-6 for bit descriptions. 824 l2u region attribute register 0 (l2u_ra0)1 see table 11-9 for bit descriptions. 825 l2u region attribute register 1 (l2u_ra1)1 see table 11-9 for bit descriptions. 826 l2u region attribute register 2 (l2u_ra2)1 see table 11-9 for bit descriptions. 827 l2u region attribute register 3 (l2u_ra3)1 see table 11-9 for bit descriptions. 1022 floating-point exception cause register (fpecr)1 see 3.9.10.2 floating-point exception cause register (fpecr) for bit descriptions. notes: 1. implementation-specific spr. table 3-2 supervisor-level sprs (continued) spr number (decimal) special-purpose register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-11 where not otherwise noted, reserved fields in registers are ignored when written and return zero when read. an exception to this rule is xer[16:23]. these bits are set to the value written to them and return that value when read. 3.7 powerpc uisa register set the powerpc uisa registers can be accessed by either user- or supervisor-level in- structions. the general-purpose registers are accessed through instruction operands. table 3-3 development support sprs 1 notes: 1. all development-support sprs are implementation-specific. spr number (decimal) special-purpose register 144 comparator a value register (cmpa) see table 21-17 for bit descriptions. 145 comparator b value register (cmpb) see table 21-17 for bit descriptions. 146 comparator c value register (cmpc) see table 21-17 for bit descriptions. 147 comparator d value register (cmpd) see table 21-17 for bit descriptions. 148 exception cause register (ecr) see table 21-27 for bit descriptions. 149 debug enable register (der) see table 21-28 for bit descriptions. 150 breakpoint counter a value and control (counta) see table 21-25 for bit descriptions. 151 breakpoint counter b value and control (countb) see table 21-26 for bit descriptions. 152 comparator e value register (cmpe) see table 21-18 for bit descriptions. 153 comparator f value register (cmpf) see table 21-18 for bit descriptions. 154 comparator g value register (cmpg) see table 21-20 for bit descriptions. 155 comparator h value register (cmph) see table 21-20 for bit descriptions. 156 l-bus support comparators control 1 (lctrl1) see table 21-23 for bit descriptions. 157 l-bus support comparators control 2 (lctrl2) see table 21-24 for bit descriptions. 158 i-bus support control register (ictrl) see table 21-21 for bit descriptions. 159 breakpoint address register (bar) see table 21-19 for bit descriptions. 630 development port data register (dpdr) see 21.7.13 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-12 3.7.1 general-purpose registers (gprs) integer data is manipulated in the integer unit?s thirty-two 32-bit gprs, shown below. these registers are accessed as source and destination registers through operands in the instruction syntax. 3.7.2 floating-point registers (fprs) the powerpc architecture provides thirty-two 64-bit fprs. these registers are ac- cessed as source and destination registers through operands in floating-point instruc- tions. each fpr supports the double-precisi on, floating-point format. every instruction that interprets the contents of an fpr as a floating-point value uses the double-preci- sion floating-point format for this interpreta tion. that is, all floating-point numbers are stored in double-precision format. all floating-point arithmetic instructions operate on data located in fprs and, with the exception of the compare instructions (which update the cr), place the result into an fpr. information about the status of floating- point operations is placed into the float- ing-point status and control register (fpscr) and in some cases, into the cr, after the completion of the operation?s writeback stage. for information on how the cr is affect- ed by floating-point operations, see 3.7.4 condition register (cr) . 3.7.3 floating-point status and control register (fpscr) the fpscr controls the handling of floating-point exceptions and records status re- sulting from the floating-point operations. fpscr[0:23] are status bits. fpscr[24:31] are control bits. gprs ? general-purpose registers msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 gpr0 gpr1 . . . . . . gpr31 reset: unchanged fprs ? floating-point registers msb 0 lsb 63 fpr0 fpr1 . . . . . . fpr31 reset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-13 fpscr[0:12] and fpscr[21:23] are floating-point exception condition bits. these bits are sticky, except for the floating-point enabled exception summary (fex) and float- ing-point invalid operation exception summary (vx). once set, sticky bits remain set until they are cleared by an mcrfs , mtfsfi , mtfsf , or mtfsb0 instruction. table 3-4 summarizes which bits in the fpscr are sticky status bits, which are nor- mal status bits, and which are control bits. fex and vx are the logical ors of other fpscr bits. therefore these two bits are not listed among the fpscr bits directly affected by the various instructions. a listing of fpscr bit descriptions is shown in table 3-5 . table 3-4 fpscr bit categories bits type [0], [3:12], [21:23] status, sticky [1:2], [13:20] status, not sticky [24:31] control fpscr ? floating-point status and control register msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 fx fex vx ox ux zx xx vxs- nan vxisi vxidi vxzd z vximz vxvc fr fi fprf 0 reset: unchanged 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 fprf[1:4] 0 vx- soft vx- sqrt vxcvi ve oe ue ze xe ni rn reset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-14 table 3-5 fpscr bit descriptions bit(s) name description 0fx floating-point exception summary. every floating-point instruction implicitly sets fpscr[fx] if that instruction causes any of the floating-point exception bits in the fpscr to change from 0 to 1. the mcrfs instruction implicitly clears fpscr[fx] if the fpscr field containing fpscr[fx] is copied. the mtfsf , mtfsfi , mtfsb0 , and mtfsb1 instructions can set or clear fpscr[fx] ex- plicitly. this is a sticky bit. 1fex floating-point enabled exception summary. this bit signals the occurrence of any of the enabled exception conditions. it is the logical or of all the floating-point exception bits masked with their respective enable bits. the mcrfs instruction implicitly clears fpscr[fex] if the result of the log- ical or described above becomes zero. the mtfsf , mtfsfi , mtfsb0 , and mtfsb1 instructions can- not set or clear fpscr[fex] explicitly. this is not a sticky bit. 2vx floating-point invalid operation exception summary. this bit signals the occurrence of any invalid operation exception. it is the logical or of all of the invalid operation exceptions. the mcrfs in- struction implicitly clears fpscr[vx] if the result of the logical or described above becomes ze- ro. the mtfsf , mtfsfi , mtfsb0 , and mtfsb1 instructions cannot set or clear fpscr[vx] explicitly. this is not a sticky bit. 3 ox floating-point overflow exception. this is a sticky bit. 4 ux floating-point underflow exception. this is a sticky bit. 5 zx floating-point zero divide exception. this is a sticky bit. 6 xx floating-point inexact exception. this is a sticky bit. 7 vxsnan floating-point invalid operation exception for snan. this is a sticky bit. 8 vxisi floating-point invalid operation exception for -. this is a sticky bit. 9 vxidi floating-point invalid operation exception for /. this is a sticky bit. 10 vxzdz floating-point invalid operation exception for 0/0. this is a sticky bit. 11 vximz floating-point invalid operation exception for *0. this is a sticky bit. 12 vxvc floating-point invalid operation exception for invalid compare. this is a sticky bit. 13 fr floating-point fraction rounded. the last floating-point instruction that potentially rounded the in- termediate result incremented the fraction. this bit is not sticky. 14 fi floating-point fraction inexact. the last floating-point instruction that potentially rounded the in- termediate result produced an inexact fraction or a disabled exponent overflow. this bit is not sticky. [15:19] fprf floating-point result flags. this field is based on the value placed into the target register even if that value is undefined. refer to table 3-6 for specific bit descriptions. 15 floating-point result class descriptor (c). floating-point instructions other than the compare instructions may set this bit with the fpcc bits, to indicate the class of the result. 16?19 floating-point condition code (fpcc). floating-point compare instructions always set one of the fpcc bits to one and the other three fpcc bits to zero. other floating-point instructions may set the fpcc bits with the c bit, to indicate the class of the result. note that in this case the high-order three bits of the fpcc retain their relational significance indicating that the value is less than, greater than, or equal to zero. 16 floating-point less than or negative (fl or <) 17 floating-point greater than or positive (fg or >) 18 floating-point equal or zero (fe or =) 19 floating-point unordered or nan (fu or ?) 20 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-15 table 3-6 illustrates the floating-point result flags that correspond to fpscr[15:19]. 3.7.4 condition register (cr) the condition register (cr) is a 32-bit register that reflects the result of certain opera- tions and provides a mechanism for testing and branching. the bits in the cr are grouped into eight 4-bit fields, cr0 to cr7. 21 vxsoft floating-point invalid operation exception for software request. this bit can be altered only by the mcrfs , mtfsfi , mtfsf , mtfsb0 , or mtfsb1 instructions. the purpose of vxsoft is to allow soft- ware to cause an invalid operation condition for a condition that is not necessarily associated with the execution of a floating-point instruction. for example, it might be set by a program that com- putes a square root if the source operand is negative. this is a sticky bit. 22 vxsqrt floating-point invalid operation exception for invalid square root. this is a sticky bit. this guar- antees that software can simulate fsqrt and frsqrte , and to provide a consistent interface to han- dle exceptions caused by square-root operations. 23 vxcvi floating-point invalid operation exception for invalid integer convert. this is a sticky bit. 24 ve floating-point invalid operation exception enable. 25 oe floating-point overflow exception enable. 26 ue floating-point underflow exception enable. this bit should not be used to determine whether de- normalization should be performed on floating-point stores. 27 ze floating-point zero divide exception enable. 28 xe floating-point inexact exception enable. 29 ni non-ieee mode bit. 30?31 rn floating-point rounding control. 00round to nearest 01round toward zero 10round toward +infinity 11round toward -infinity table 3-6 floating-point result flags in fpscr result flags (bits 15?19) c<>=? result value class 10001 quiet nan 01001 ? infinity 01000 ? normalized number 11000 ? denormalized number 10010 ? zero 00010 + zero 10100 + denormalized number 00100 + normalized number 00101 + infinity table 3-5 fpscr bit desc riptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-16 the cr fields can be set in the following ways:  specified fields of the cr can be set by a move instruction ( mtcrf ) to the cr from a gpr.  specified fields of the cr can be moved from one cr x field to another with the mcrf instruction .  a specified field of the cr can be set by a move instruction ( mcrxr ) to the cr from the xer.  condition register logical instructions can be used to perform logical operations on specified bits in the condition register.  cr0 can be the implicit result of an integer operation.  a specified cr field can be the explicit result of an integer compare instruction. instructions are provided to test individual cr bits. 3.7.4.1 condition regist er cr0 field definition in most integer instructions, when the cr is set to reflect the result of the operation (that is, when rc = 1), and for addic. , andi. , and andis. , the first three bits of cr0 are set by an algebraic comparison of the result to zero; the fourth bit of cr0 is copied from xer[so]. for integer instructions, cr[0:3] are set to reflect the result as a signed quantity. the result as an unsigned quantity or a bit string can be deduced from the eq bit. the cr0 bits are interpreted as shown in table 3-7 . if any portion of the result (the 32- bit value placed into the destination register) is undefined, the value placed in the first three bits of cr0 is undefined. 3.7.4.2 condition regist er cr1 field definition in all floating-point instructions when the cr is set to reflect the result of the operation (that is, when rc = 1), the cr1 field (bits 4 to 7 of the cr) is copied from fpscr[0:3] to indicate the floating-point exception status. for more information about the fpscr, see 3.7.3 floating-point status and control register (fpscr) . the bit descriptions for the cr1 field are shown in table 3-8 . cr ? condition register msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 cr0 cr1 cr2 cr3 cr4 cr5 cr6 cr7 reset: unchanged table 3-7 bit descripti ons for cr0 field of cr cr0 bit description 0 negative (lt) ? this bit is set when the result is negative. 1 positive (gt) ? this bit is set when the result is positive (and not zero). 2 zero (eq) ? this bit is set when the result is zero. 3 summary overflow (so) ? this is a copy of the final state of xer[so] at the completion of the instruction. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-17 3.7.4.3 condition register cr n field ? compare instruction when a specified cr field is set by a compare instruction, the bits of the specified field are interpreted as shown in table 3-9 . a condition register field can also be accessed by the mfcr , mcrf , and mtcrf instructions. 3.7.5 integer exception register (xer) the integer exception register (xer) is a user-level, 32-bit register. table 3-8 bit desc riptions for cr1 field of cr cr1 bit description 0 floating-point exception (fx) ? this is a copy of the final state of fpscr[fx] at the completion of the in- struction. 1 floating-point enabled exception (fex) ? this is a copy of the final state of fpscr[fex] at the completion of the instruction. 2 floating-point invalid exception (vx) ? this is a copy of the final state of fpscr[vx] at the completion of the instruction. 3 floating-point overflow exception (ox) ? this is a copy of the final state of fpscr[ox] at the completion of the instruction. table 3-9 cr n field bit descriptions for compare instructions cr n bit 1 notes: 1. here, the bit indicates the bit number in any one of the four-bit subfields, cr0?cr7 description 0 less than, floating-point less than (lt, fl). for integer compare instructions, ( r a) < simm, uimm, or ( r b) (algebraic comparison) or ( r a) simm, uimm, or ( r b) (logical comparison). for floating-point compare instructions, ( fr a) < ( fr b). 1 greater than, floating-point greater than (gt, fg). for integer compare instructions, ( r a) > simm, uimm, or ( r b) (algebraic comparison) or ( r a) simm, uimm, or ( r b) (logical comparison). for floating-point compare instructions, ( fr a) > ( fr b). 2 equal, floating-point equal (eq, fe). for integer compare instructions, ( r a) = simm, uimm, or ( r b). for floating-point compare instructions, ( fr a) = ( fr b). 3 summary overflow, floating-point unordered (so, fu). for integer compare instructions, this is a copy of the final state of xer[so] at the completion of the in- struction. for floating-point compare instructions, one or both of ( fr a) and ( fr b) is not a number (nan). xer ? integer exception register spr 1 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 so ov ca reserved bytes reset: u u u u u u 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 u u u u u u u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-18 the bit definitions for xer, shown in table 3-10 , are based on the operation of an in- struction considered as a whole, not on intermediate results. for example, the result of the subtract from carrying ( subfc x ) instruction is specified as the sum of three val- ues. this instruction sets bits in the xer based on the entire operation, not on an in- termediate sum. in most cases, reserved fields in registers are ignored when written to and return zero when read. however, xer[16:23] are set to the value written to them and return that value when read. 3.7.6 link register (lr) the 32-bit link register supplies the branch target address for the branch conditional to link register ( bclr x ) instruction, and can be used to hold the logical address of the instruction that follows a branch and link instruction. note that although the two least-significant bits can accept any values written to them, they are ignored when the lr is used as an address. both conditional and unconditional branch instructions include the option of placing the effective address of the instruction following the branch instruction in the lr. this is done regardless of whether the branch is taken. table 3-10 integer excepti on register bit definitions bit(s) name description 0so summary overflow (so) ? the summary overflow bit is set whenever an instruction sets the overflow bit (ov) to indicate overflow and remains set until software clears it. it is not altered by compare instructions or other instructions that cannot overflow. 1ov overflow (ov) ? the overflow bit is set to indicate that an overflow has occurred during exe- cution of an instruction. integer and subtract instructions having oe=1 set ov if the carry out of bit 0 is not equal to the carry out of bit 1, and clear it otherwise. the ov bit is not altered by compare instructions or other instructions that cannot overflow. 2ca carry (ca) ? in general, the carry bit is set to indicate that a carry out of bit 0 occurred during execution of an instruction. add carrying, subtract from carrying, add extended, and subtract from extended instructions set ca to one if there is a carry out of bit 0, and clear it otherwise. the ca bit is not altered by compare instructions or other instructions that cannot carry, except that shift right algebraic instructions set the ca bit to indicate whether any ?1? bits have been shifted out of a negative quantity. 3:24 ? reserved 25:31 bytes this field specifies the number of bytes to be transferred by a load string word indexed ( lswx ) or store string word indexed ( stswx ) instruction. lr ? link register spr 8 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 branch address reset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-19 3.7.7 count register (ctr) the count register (ctr) is a 32-bit register for holding a loop count that can be dec- remented during execution of branch instructions that contain an appropriately coded bo field. if the value in ctr is 0 before being decremented, it is ?1 afterward. the count register provides the branch target address for the branch conditional to count register ( bcctr x ) instruction. 3.8 powerpc vea register set ? time base the powerpc virtual environment architecture (vea) defines registers in addition to those in the uisa register set. the powerp c vea register set can be accessed by all software with either user- or supervisor-level privileges. the powerpc vea includes the time base facility (tb), a 64-bit structure that contains a 64-bit unsigned integer that is incremented periodically. the frequency at which the counter is updated is implementation-dependent. for details on the time base clock in the MPC555 / mpc556, refer to 6.7 MPC555 / mpc556 time base (tb) , 8.6 MPC555 / mpc556 internal clock signals , and 8.12.1 system clock control register (sc- cr) . the tb consists of two 32-bit registers: time base upper (tbu) and time base lower (tbl). in the context of the vea, user-level applications are permitted read-only ac- cess to the tb. the oea defines supervisor-level access to the tb for writing values to the tb. different spr encodings are provided for reading and writing the time base. in 32-bit powerpc implementations such as the rcpu, it is not possible to read the entire 64-bit time base in a single instruction. the mftb simplified mnemonic copies the lower half of the time base register (tbl) to a gpr, and the mftbu simplified mne- monic copies the upper half of the time base (tbu) to a gpr. ctr ? count register spr 9 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 loop count reset: unchanged tb ? time base (read only) spr 268, 269 0 31 32 63 tbu tbl reset: unchanged table 3-11 time base fiel d definitions (read only) bits name description 0-31 tbu time base (upper) ? the high-order 32 bits of the time base 32-63 tbl time base (lower) ? the low-order 32 bits of the time base f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-20 3.9 powerpc oea register set the powerpc operating environment architecture (oea) includes a number of sprs and other registers that are accessible only by supervisor-level instructions. some sprs are rcpu-specific; so me rcpu sprs may not be implemented in other pow- erpc processors, or may not be implemented in the same way. 3.9.1 machine state register (msr) the machine state register is a 32-bit register that defines the state of the processor. when an exception occurs, the current contents of the msr are loaded into srr1, and the msr is updated to reflect the exception-processing machine state. the msr can also be modified by the mtmsr , sc , and rfi instructions. it can be read by the mfm- sr instruction. table 3-12 shows the bit definitions for the msr. msr ? machine state register msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved pow 0 ile reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 ee pr fp me fe0 se be fe1 0 ip ir dr re- served dc- mpen 2 2. this bit is only available on the mpc556. ri le reset: 0 0 0 u 0 0 0 0 0 id1 1 notes: 1. reset value of this bit depends on the value of the internal data bus line during reset. 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-21 table 3-12 machine state register bit descriptions bit(s) name description 0:12 ? reserved 13 pow power management enable 0 = power management disabled (normal operation mode) 1 = power management enabled (reduced power mode) 14 ? reserved 15 ile exception little-endian mode. when an exception occurs, this bit is copied into msr[le] to select the endian mode for the context established by the exception. 0 = processor runs in big-endian mode during exception processing. 1 = processor runs in little-endian mode during exception processing. 16 ee external interrupt enable. interrupts should only be negated while the ee bit is disabled (0). soft- ware should disable interrupts in the cpu core prior to masking or disabling any interrupt which might be currently pending at the cpu core. for external interrupts, it is recommended that the edge triggered interrupt scheme be used. 0 = the processor delays recognition of external interrupts and decrementer exception condi- tions. 1 = the processor is enabled to take an external interrupt or the decrementer exception. 17 pr privilege level 0 = the processor can execute both user- and supervisor-level instructions. 1 = the processor can only execute user-level instructions. 18 fp floating-point available 0 = the processor prevents dispatch of floating-point instructions, including floating-point loads, stores and moves. floating-point enabled program exceptions can still occur and the fprs can still be accessed. 1 = the processor can execute floating-point instructions, and can take floating-point enabled ex- ception type program exceptions. 19 me machine check enable 0 = machine check exceptions are disabled. 1 = machine check exceptions are enabled. 20 fe0 floating-point exception mode 0 (see table 3-13 .) 21 se single-step trace enable 0 = the processor executes instructions normally. 1 = the processor generates a single-step trace exception upon the successful execution of the next instruction. when this bit is set, the processor dispatches instructions in strict program order. successful execution means the instruction caused no other exception. single-step tracing may not be present on all implementations. 22 be branch trace enable 0 = no trace exception occurs when a branch instruction is completed 1 = trace exception occurs when a branch instruction is completed 23 fe1 floating-point exception mode 1 (see table 3-13 .) 24 ? reserved 25 ip exception prefix. the setting of this bit specifies the location of the exception vector table. 0 = exception vector table starts at the physical address 0x0000 0000. 1 = exception vector table starts at the physical address 0xfff0 0000. 26 ir instruction relocation. 0 = instruction address translation is off, the bbc impu does not check for address permission attributes. 1 = instruction address translation is on, the bbc impu checks for address permission attributes. 27 dr data relocation 0 = data address translation is off, the l2u dmpu does not check for address permission at- tributes. 1 = data address translation is on, the l2u dmpu checks for address permission attributes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-22 the floating-point exception mode bits are interpreted as shown in table 3-13 . 3.9.2 dae/source instruction service register (dsisr) the 32-bit dsisr identifies the cause of data access and alignment exceptions. 3.9.3 data address register (dar) after an alignment exception, the dar is set to the effective address of a load or store element. 28 ? reserved 29 dc- mpen 1 decompression on/off 0 = rcpu normal operation 1 = rcpu is running in compressed mode 30 ri recoverable exception (for machine check and non-maskable breakpoint exceptions) 0 = machine state is not recoverable. 1 = machine state is recoverable. 31 le little-endian mode 0 = processor operates in big-endian mode during normal processing. 1 = processor operates in little-endian mode during normal processing. notes: 1. this bit is only available on the mpc556. table 3-13 floating-poi nt exception mode bits fe[0:1] mode 00 ignore exceptions mode ? floating-point exceptions do not cause the floating-point assist error handler to be invoked. 01, 10, 11 floating-point precise mode ? the system floating-point assist error handler is invoked precisely at the instruction that caused the enabled exception. dsisr ? dae/source instruction service register spr 18 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 dsisr reset: unchanged dar ? data address register spr 19 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 data address reset: unchanged table 3-12 machine state register bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-23 3.9.4 time base facility (tb) ? oea as described in 3.8 powerpc vea register set ? time base , the time base (tb) provides a 64-bit incrementing counter. the vea defines user-level, read-only access to the tb. writing to the tb is reserved for supervisor-level applications such as oper- ating systems and bootstrap routines. the oea defines supervisor-level, write access to the tb. the tb can be written to at the supervisor privilege level only. the mttbl and mttbu simplified mnemonics write the lower and upper halves of the tb, respectively. the mtspr , mttbl , and mttbu instructions treat tbl and tbu as separate 32-bit registers; setting one leaves the other unchanged. it is not possible to write the entire 64-bit time base in a single instruction. for information about reading the time base, refer to 3.8 powerpc vea register set ? time base . 3.9.5 decrementer register (dec) the decrementer (dec, spr 22) is a 32-bit decrementing counter defined by the MPC555 / mpc556 to provide a decrementer exception after a programmable delay. the dec satisfies the following requirements:  loading a gpr from the dec has no effect on the dec.  storing a gpr to the dec replaces the value in the dec with the value in the gpr.  whenever bit 0 of the dec changes from zero to one, a decrementer exception request (unless masked) is signaled. multiple dec exception requests may be re- ceived before the first exception occurs; however, any additional requests are canceled when the exception occurs for the first request.  if the dec is altered by software and the content of bit 0 is changed from zero to one, an exception request is signaled.  poreset resets and stops the decrementer, hreset/sreset do not. the decrementer frequency is based on a subdivision of the processor clock. a bit in the system clock control regi ster (sccr) in the siu dete rmines the clock source of both the decrementer and the time base. for details on the decrementer and time base tb ? time base (write only) spr 284, 285 0 31 32 63 tbu tbl reset: unchanged table 3-14 time base fiel d definitions (write only) bits name description 0:31 tbu time base (upper) ? the high-order 32 bits of the time base 32:63 tbl time base (lower) ? the low-order 32 bits of the time base f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-24 clock in the MPC555 / mpc556, refer to 6.6 MPC555 / mpc556 decrementer , 8.6 MPC555 / mpc556 internal clock signals , and 8.12.1 system clock control reg- ister (sccr) . the dec does not run after power-up and must be enabled by setting the tbe bit in the tbscr register, see table 6-16 . power-on reset stops its counting and clears the register. a decrementer exception may be signaled to software prior to initialization. 3.9.6 machine status save/restore register 0 (srr0) the machine status save/restore register 0 (srr0) is a 32-bit register that identifies where instruction execution should resume when an rfi instruction is executed follow- ing an exception. it also holds the effective address of the instruction that follows the system call ( sc ) instruction. when an exception occurs, srr0 is set to poin t to an instruction such that all prior in- structions have completed execution and no subsequent instruction has begun execu- tion. the instruction addressed by srr0 may not have completed execution, depending on the exception type. srr0 addresses either the instruction causing the exception or the immediately following instruction. the instruction addressed can be determined from the exception type and status bits. when an exception occurs, srr0 is set to poin t to an instruction such that all prior in- structions have completed execution and no subsequent instruction has begun execu- tion. the instruction addressed by srr0 may not have completed execution, depending on the exception type. srr0 addresses either the instruction causing the exception or the immediately following instruction. the instruction addressed can be determined from the exception type and status bits. 3.9.7 machine status save/restore register 1 (srr1) srr1 is a 32-bit register used to save machine status on exceptions and to restore machine status when an rfi instruction is executed. dec ? decrementer register spr 22 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 decrementing counter reset: unchanged srr0 ? machine status save/restore register 0 spr 26 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 srr0 reset: undefined f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-25 in general, when an exception occurs, srr1[0:15] are loaded with exception-specific information, and msr[16:31] are placed into srr1[16:31]. 3.9.8 general sprs (sprg0?sprg3) sprg0?sprg3 are 32-bit registers provided for general operating system use, such as performing a fast-state save and for supporting multiprocessor implementations. sprg0?sprg3 are shown below. uses for sprg0?sprg3 are shown in table 3-15 . 3.9.9 processor version register (pvr) the pvr is a 32-bit, read-only register that identifies the version and revision level of the powerpc processor. the contents of the pvr can be copied to a gpr by the mf- spr instruction. read a ccess to the pvr is available in supervisor mode only; write ac- cess is not provided. srr1 ? machine status save/restore register 1 spr 27 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 srr1 reset: undefined sprg0?sprg3 ? general special-purpose registers 0?3 spr 272 ? spr 275 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 sprg0 sprg1 sprg2 sprg3 reset: unchanged table 3-15 uses of sprg0?sprg3 register description sprg0 software may load a unique physical address in this register to identify an area of memory reserved for use by the exception handler. this area must be unique for each processor in the system. sprg1 this register may be used as a scratch register by the exception handler to save the content of a gpr. that gpr then can be loaded from sprg0 and used as a base register to save other gprs to memory. sprg2 this register may be used by the operating system as needed. sprg3 this register may be used by the operating system as needed. pvr ? processor version register spr 287 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 version revision reset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-26 3.9.10 implementation-specific sprs the MPC555 / mpc556 includes several implementation-specific sprs that are not defined by the powerpc architecture. these registers can be accessed by supervisor- level instructions only. these registers are listed in table 3-2 and table 3-3 . 3.9.10.1 eie, eid, and nri special-purpose registers the rcpu includes three implementation-specific sprs to facilitate the software ma- nipulation of the msr[ri] and msr[ee] bits. issuing the mtspr instruction with one of these registers as an operand causes the ri and ee bits to be set or cleared as shown in table 3-17 . a read ( mfspr ) of any of these locations is treated as an unimplemented instruction, resulting in a software emulation exception. 3.9.10.2 floating-point except ion cause register (fpecr) the fpecr is a 32-bit supervisor-level internal status and control register used by the floating-point assist firmware envelope. it contains four status bits indicating whether the result of the operation is tiny and whether any of three source operands are denor- malized. in addition, it contains one control bit to enable or disable sie mode. this reg- ister must not be accessed by user code. table 3-16 processor version register bit descriptions bit(s) name description 0:15 version a 16-bit number that identifies the version of the processor and of the powerpc architec- ture. MPC555 / mpc556 value is 0x0002. 16:31 revision a 16-bit number that distinguishes between various releases of a particular version. the MPC555 / mpc556 value is 0x0020. table 3-17 eie, eid, and nri registers spr number (decimal) mnemonic msr[ee] msr[ri] 80 eie 1 1 81 eid 0 1 82 nri 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-27 a listing of fpecr bit descriptions is shown in table 3-18 . note software must insert a sync instruction before reading the fpecr. 3.9.10.3 additional implemen tation-specific registers refer to the following sections for details on additional implementation-specific regis- ters in the MPC555 / mpc556:  4.6 burst buffer programming model  6.13.1.2 internal memory map register  11.8 l2u programming model  section 21 development support fpecr ? floating-point exception cause register spr 1022 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 sie reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved dnc dnb dna tr reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 3-18 fpecr bit descriptions bit(s) name description 0sie sie mode control bit 0 = disable sie mode 1 = enable sie mode [1:27] ? reserved 28 dnc source operand c denormalized status bit 0 = source operand c is not denormalized 1 = source operand c is denormalized 29 dnb source operand b denormalized status bit 0 = source operand b is not denormalized 1 = source operand b is denormalized 30 dna source operand a denormalized status bit 0 = source operand a is not denormalized 1 = source operand a is denormalized 31 tr floating-point tiny result 0 = floating-point result is not tiny 1 = floating-point result is tiny f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-28 3.10 instruction set all powerpc instructions are encoded as single words (32 bits). instruction formats are consistent among all instruction types, permitting efficient decoding to occur in parallel with operand accesses. this fixed instruction length and consistent format greatly sim- plifies instruction pipelining. the powerpc instructions are divide d into the following categories:  integer instructions include comp utational and logical instructions. ? integer arithmetic instructions ? integer compare instructions ? integer logical instructions ? integer rotate and shift instructions  floating-point instructions include floating-point computational instructions, as well as instructions that affect the floating-point status and control register (fp- scr). ? floating-point arithmetic instructions ? floating-point multiply/add instructions ? floating-point rounding and conversion instructions ? floating-point compare instructions ? floating-point status and control instructions  load/store instructions include integer and floating-point load and store instruc- tions. ? integer load and store instructions ? integer load and store multiple instructions ? floating-point load and store ? primitives used to construct atomic memory operations ( lwarx and stwcx. in- structions)  flow control instructions include branchin g instructions, condition register logical instructions, trap instructions, and other in structions that affect the instruction flow. ? branch and trap instructions ? condition register logical instructions  processor control instructions are us ed for synchronizing memory accesses. ? move to/from spr instructions ? move to/from msr ? synchronize ? instruction synchronize note that this grouping of the instructions does not indicate which execution unit exe- cutes a particular instruction or group of instructions. integer instructions operate on byte, half-word, and word operands. floating-point in- structions operate on single-precision (one word) and double-precision (one double word) floating-point operands. the powerpc architecture uses instructions that are four bytes long and word-aligned. it provides for byte, half-word, and word operand loads and stores between memory and a set of 32 gprs. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-29 computational instructions do not modify memory. to use a memory operand in a computation and then modify the same or another memory location, the memory con- tents must be loaded into a register, modified, and then written back to the target lo- cation with distinct instructions. powerpc processors follow the program flow when they are in the normal execution state. however, the flow of instructions can be interrupted directly by the execution of an instruction or by an asynchronous event. either kind of exception may cause one of several components of the system software to be invoked. 3.10.1 instruction set summary table 3-19 provides a summary of rcpu instructions. refer to the rcpu reference manual (rcpurm/ad) for a detailed description of the instruction set. table 3-19 instruction set summary mnemonic operand syntax name add (add. addo addo.) r d ,r a ,r badd addc (addc. addco addco.) r d ,r a ,r b add carrying adde (adde. addeo addeo.) r d ,r a ,r b add extended addi r d ,r a,simm add immediate addic r d ,r a,simm add immediate carrying addic. r d ,r a,simm add immediate carrying and record addis r d ,r a,simm add immediate shifted addme (addme. addmeo addmeo.) r d ,r a add to minus one extended addze (addze. addzeo addzeo.) r d ,r a add to zero extended and (and.) r a ,r s ,r b and andc (andc.) r a ,r s ,r b and with complement andi. r a ,r s , uimm and immediate andis. r a ,r s , uimm and immediate shifted b (ba bl bla) target_addr branch bc (bca bcl bcla) bo , bi,target_addr branch conditional bcctr (bcctrl) bo , bi branch conditional to count register bclr (bclrl) bo , bi branch conditional to link register cmp crf d , l ,r a ,r b compare cmpi crf d,l, r a,simm compare immediate cmpl crf d , l ,r a ,r b compare logical cmpli crf d , l ,r a , uimm compare logical immediate cntlzw (cntlzw.) r a ,r s count leading zeros word crand crb d ,crb a ,crb b condition register and crandc crb d ,crb a , crb b condition register and with complement creqv crb d ,crb a , crb b condition register equivalent crnand crb d ,crb a ,crb b condition register nand crnor crb d ,crb a ,crb b condition register nor cror crb d ,crb a ,crb b condition register or f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-30 crorc crb d ,crb a , crb b condition register or with complement crxor crb d ,crb a ,crb b condition register xor divw (divw. divwo divwo.) r d ,r a ,r b divide word divwu divwu. divwuo divwuo. r d ,r a ,r b divide word unsigned eieio ? enforce in-order execution of i/o eqv (eqv.) r a ,r s ,r b equivalent extsb (extsb.) r a ,r s extend sign byte extsh (extsh.) r a ,r s extend sign half word fabs (fabs.) fr d ,fr b floating absolute value fadd (fadd.) fr d ,fr a ,fr b floating add (double-precision) fadds (fadds.) fr d ,fr a ,fr b floating add single fcmpo crf d ,fr a ,fr b floating compare ordered fcmpu crf d ,fr a ,fr b floating compare unordered fctiw (fctiw.) fr d ,fr b floating convert to integer word fctiwz (fctiwz.) fr d ,fr b floating convert to integer word with round to- ward zero fdiv (fdiv.) fr d ,fr a ,fr b floating divide (double-precision) fdivs (fdivs.) fr d ,fr a ,fr b floating divide single fmadd (fmadd.) fr d ,fr a ,fr c ,fr b floating multiply-add (double-precision) fmadds (fmadds.) fr d ,fr a ,fr c ,fr b floating multiply-add single fmr (fmr.) fr d ,fr b floating move register fmsub (fmsub.) fr d ,fr a ,fr c ,fr b floating multiply-subtract (double-precision) fmsubs (fmsubs.) fr d ,fr a ,fr c ,fr b floating multiply-subtract single fmul (fmul.) fr d ,fr a ,fr c floating multiply (double-precision) fmuls (fmuls.) fr d ,fr a ,fr c floating multiply single fnabs (fnabs.) fr d ,fr b floating negative absolute value fneg (fneg.) fr d ,fr b floating negate fnmadd (fnmadd.) fr d ,fr a ,fr c ,fr b floating negative multiply-add (double-preci- sion) fnmadds (fnmadds.) fr d ,fr a ,fr c ,fr b floating negative multiply-add single fnmsub (fnmsub.) fr d ,fr a ,fr c ,fr b floating negative multiply-subtract (double- precision) fnmsubs (fnmsubs.) fr d ,fr a ,fr c ,fr b floating negative multiply-subtract single frsp (frsp.) fr d ,fr b floating round to single fsub (fsub.) fr d ,fr a ,fr b floating subtract (double-precision) fsubs (fsubs.) fr d ,fr a ,fr b floating subtract single isync ? instruction synchronize lbz r d , d (r a ) load byte and zero lbzu r d , d( r a ) load byte and zero with update lbzux r d ,r a ,r b load byte and zero with update indexed lbzx r d ,r a ,r b load byte and zero indexed lfd fr d , d (r a ) load floating-point double lfdu fr d , d (r a ) load floating-point double with update table 3-19 instruction set summary (continued) mnemonic operand syntax name f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-31 lfdux fr d ,r a ,r b load floating-point double with update indexed lfdx fr d ,r a ,r b load floating-point double indexed lfs fr d , d (r a ) load floating-point single lfsu fr d , d (r a ) load floating-point single with update lfsux fr d ,r a ,r b load floating-point single with update indexed lfsx fr d ,r a ,r b load floating-point single indexed lha r d , d( r a) load half-word algebraic lhau r d , d( r a) load half-word algebraic with update lhaux r d ,r a ,r b load half-word algebraic with update indexed lhax r d ,r a ,r b load half-word algebraic indexed lhbrx r d ,r a ,r b load half-word byte-reverse indexed lhz r d , d( r a) load half-word and zero lhzu r d , d( r a) load half-word and zero with update lhzux r d ,r a ,r b load hal-word and zero with update indexed lhzx r d ,r a ,r b load half-word and zero indexed lmw r d , d( r a) load multiple word lswi r d ,r a , nb load string word immediate lswx r d ,r a ,r b load string word indexed lwarx r d ,r a ,r b load word and reserve indexed lwbrx r d ,r a ,r b load word byte-reverse indexed lwz r d , d( r a) load word and zero lwzu r d , d( r a) load word and zero with update lwzux r d ,r a ,r b load word and zero with update indexed lwzx r d ,r a ,r b load word and zero indexed mcrf crf d ,crf s move condition register field mcrfs crf d ,crf s move to condition register from fpscr mcrxr crf d move to condition register from xer mfcr r d move from condition register mffs (mffs.) fr d move from fpscr mfmsr r d move from machine state register mfspr r d , spr move from special purpose register mftb r d, tbr move from time base mtcrf crm ,r s move to condition register fields mtfsb0 (mtfsb0.) crb d move to fpscr bit 0 mtfsb1 (mtfsb1.) crb d move to fpscr bit 1 mtfsf (mtfsf.) fm ,fr b move to fpscr fields mtfsfi (mtfsfi.) crf d , imm move to fpscr field immediate mtmsr r s move to machine state register mtspr spr ,r s move to special purpose register mulhw (mulhw.) r d ,r a ,r b multiply high word mulhwu (mulhwu.) r d ,r a ,r b multiply high word unsigned table 3-19 instruction set summary (continued) mnemonic operand syntax name f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-32 mulli r d ,r a,simm multiply low immediate mullw (mullw. mullwo mullwo.) r d ,r a ,r b multiply low nand (nand.) r a ,r s ,r b nand neg (neg. nego nego.) r d ,r a negate nor (nor.) r a ,r s ,r bnor or (or.) r a ,r s ,r bor orc (orc.) r a ,r s ,r b or with complement ori r a ,r s , uimm or immediate oris r a ,r s , uimm or immediate shifted rfi ? return from interrupt rlwimi (rlwimi. ) r a ,r s , sh , mb , me rotate left word immediate then mask insert rlwinm (rlwinm. ) r a ,r s , sh , mb , me rotate left word immediate then and with mask rlwnm (rlwnm. ) r a ,r s ,r b , mb , me rotate left word then and with mask sc ? system call slw (slw.) r a ,r s ,r b shift left word sraw (sraw.) r a ,r s ,r b shift right algebraic word srawi (srawi.) r a ,r s , sh shift right algebraic word immediate srw (srw.) r a ,r s ,r b shift right word stb r s , d( r a) store byte stbu r s , d( r a) store byte with update stbux r s ,r a ,r b store byte with update indexed stbx r s ,r a ,r b store byte indexed stfd fr s , d( r a) store floating-point double stfdu fr s , d( r a) store floating-point double with update stfdux fr s ,r b store floating-point double with update indexed stfdx fr s ,r b store floating-point double indexed stfiwx fr s ,r b store floating-point as integer word indexed stfs fr s , d( r a) store floating-point single stfsu fr s , d( r a) store floating-point single with update stfsux fr s ,r b store floating-point single with update indexed stfsx fr s ,r b store floating-point single indexed sth r s , d( r a) store half word sthbrx r s ,r a ,r b store half word byte-reverse indexed sthu r s , d( r a) store half word with update sthux r s ,r a ,r b store half word with update indexed sthx r s ,r a ,r b store half word indexed stmw r s , d( r a) store multiple word stswi r s ,r a , nb store string word immediate stswx r s ,r a ,r b store string word indexed stw r s , d( r a) store word table 3-19 instruction set summary (continued) mnemonic operand syntax name f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-33 3.10.2 recommended simplified mnemonics to simplify assembly language coding, a set of alternative mnemonics is provided for some frequently used operations (such as no-op, load immediate, load address, move register, and complement register). for a complete list of simplified mnemonics, see the rcpu reference manual (rcpurm/ad) . programs written to be portable across the various assemblers for the powerpc architecture should not assume the existence of mnemonics not described in that manual. 3.10.3 calculating effective addresses the effective address (ea) is the 32-bit address computed by the processor when ex- ecuting a memory access or branch instruction or when fetching the next sequential instruction. the powerpc architecture supports two simple memory addressing modes:  ea = ( r a|0) + 16-bit offset (including offset = 0) (register indirect with immediate index)  ea = ( r a|0) + r b (register indirect with index) these simple addressing modes allow efficient address generation for memory ac- cesses. calculation of the effective address for aligned transfers occurs in a single clock cycle. stwbrx r s ,r a ,r b store word byte-reverse indexed stwcx . r s ,r a ,r b store word conditional indexed stwu r s , d( r a) store word with update stwux r s ,r a ,r b store word with update indexed stwx r s ,r a ,r b store word indexed subf (subf. subfo subfo.) r d ,r a ,r b subtract from subfc (subfc. subfco subfco.) r d ,r a ,r b subtract from carrying subfe (subfe. subfeo subfeo.) r d ,r a ,r b subtract from extended subfic r d ,r a,simm subtract from immediate carrying subfme (subfme. subfmeo subfmeo.) r d ,r a subtract from minus one extended subfze (subfze. subfzeo subfzeo.) r d ,r a subtract from zero extended sync ? synchronize tw to ,r a ,r b trap word twi to ,r a , simm trap word immediate xor (xor.) r a ,r s ,r bxor xori r a ,r s , uimm xor immediate xoris r a ,r s , uimm xor immediate shifted table 3-19 instruction set summary (continued) mnemonic operand syntax name f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-34 for a memory access instructio n, if the sum of the effective address and the operand length exceeds the maximum effective address, the storage operand is considered to wrap around from the maximum effective address to effective address 0. effective address computations for both da ta and instruction accesses use 32-bit un- signed binary arithmetic. a carry from bit 0 is ignored in 32-bit implementations. 3.11 except ion model the powerpc exception mechanism allows the processor to change to supervisor state as a result of external signals, errors, or unusual conditions arising in the execu- tion of instructions. when exceptions occur, information about the state of the proces- sor is saved to certain registers, and the processor begins execution at an address (exception vector) predetermined for each exception. processing of exceptions occurs in supervisor mode. although multiple exception conditions can map to a single exception vector, a more specific condition may be determined by examining a register associated with the ex- ception ? for example, the dae/source instruction service register (dsisr). addition- ally, some exception conditions can be explicitly enabled or disabled by software. 3.11.1 except ion classes the MPC555 / mpc556 except ion classes are shown in table 3-20 . 3.11.2 ordere d exceptions in the MPC555 / mpc556, all exceptions except for reset, debug port non-maskable interrupts, and machine check exceptions are ordered. ordered exceptions satisfy the following criteria:  only one exception is reported at a time. if, for example, a single instruction en- counters multiple exception conditions, those conditions are encountered se- quentially. after the exception handler handles an exception, instruction execution continues until the next exception condition is encountered.  when the exception is taken, no program state is lost. 3.11.3 unordered exceptions unordered exceptions may be reported at any time and are not guaranteed to pre- serve program state information. the proce ssor can never recover from a reset excep- tion. it can recover from other unordered e xceptions in most ca ses. however, if a table 3-20 MPC555 / mpc556 exception classes class exception type asynchronous, unordered machine check system reset asynchronous, ordered external interrupt decrementer synchronous (ordered, precise) instruction-caused exceptions f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-35 debug port non-maskable interrupt or machine check exception occurs during the ser- vicing of a previous exception, the machine state information in srr0 and srr1 (and, in some cases, the dar and dsisr) may not be recoverable; the processor may be in the process of saving or restoring these registers. to determine whether the machine state is recoverable, the user can read the ri (re- coverable exception) bit in srr1. during exception processing, the ri bit in the msr is copied to srr1 and then cleared. the op erating system should set the ri bit in the msr at the end of each exception handler?s prologue (after saving the program state) and clear the bit at the start of each exception handler?s epilogue (before restoring the program state). then, if an unordered exception occurs during the servicing of an ex- ception handler, the ri bit in s rr1 will contain the correct value. 3.11.4 precise exceptions in the MPC555 / mpc556, all synchronous (instruction-caused) exceptions are pre- cise. when a precise exception occurs, the processor backs the machine up to the in- struction causing the exceptio n. this ensures that the machine is in its correct architecturally-defined state. the following conditions exist at the point a precise ex- ception occurs: 1. architecturally, no instruction follow ing the faulting instruction in the code stream has begun execution. 2. all instructions preceding the faulting instruction appear to have completed with respect to the executing processor. 3. srr0 addresses either the instruction causing the exception or the immediate- ly following instruction. which instruction is addressed can be determined from the exception type and the status bits. 4. depending on the type of exception, the instruction causing the exception may not have begun execution, may have partially completed, or may have complet- ed execution. 3.11.5 excepti on vector table the setting of the exception prefix (ip) bit in the msr determines how exceptions are vectored. if the bit is cleared, the exception vector table begins at the physical address 0x0000 0000; if ip is set, the exception vector table begins at the physical address 0xfff0 0000. table 3-21 shows the exception vector offset of the first instruction of the exception handler routine for each exception type. note in the MPC555 / mpc556, the exception table can additionally be re- located by the bbc module to internal memory and reduce the total size required by the exception table (see 4.5 exception table relo- cation ). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-36 3.12 instruction timing the MPC555 / mpc556 processor is pipelined. because the processing of an instruc- tion is broken into a series of stages, an instruction does not require the entire resourc- es of the processor. the instruction pipeline in the MPC555 / mpc556 has four stages: 1. the dispatch stage is implemented using a distributed mechanism. the central dispatch unit broadcasts the instruction to all units. in addition, scoreboard in- formation (regarding data dependencies) is broadcast to each execution unit. each execution unit decodes the instruction. if the instruction is not implement- ed, a program exception is taken. if the instruction is legal and no data depen- dency is found, the instruction is accepted by the appropriate execution unit, and the data found in the destination register is copied to the history buffer. if a data dependency exists, the machine is stalled until the dependency is re- solved. table 3-21 exception ve ctor offset table vector offset (hexadecimal) exception type 00000 reserved 00100 system reset, nmi interrupt 00200 machine check 00300 reserved 00400 reserved 00500 external interrupt 00600 alignment 00700 program 00800 floating-point unavailable 00900 decrementer 00a00 reserved 00b00 reserved 00c00 system call 00d00 trace 00e00 floating-point assist 01000 implementation-dependent software emulation 01100 reserved 01200 reserved 01300 implementation-dependent instruction protection error 01400 implementation-dependent data protection error 01500?01bff reserved 01c00 implementation-dependent data breakpoint 01d00 implementation-dependent instruction breakpoint 01e00 implementation-dependent maskable external breakpoint 01f00 implementation-dependent non-maskable external breakpoint f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-37 2. in the execute stage, each execution unit that has an executable instruction ex- ecutes the instruction. (for some instru ctions, this occurs over multiple cycles.) 3. in the writeback stage, the execution unit writes the result to the destination reg- ister and reports to the history buffer that the instruction is completed. 4. in the retirement stage, the history buffer retires instructions in architectural or- der. an instruction retires from the mach ine if it completes execution with no ex- ceptions and if all instructions preceding it in the instruction stream have finished execution with no exceptions. as many as six instructions can be re- tired in one clock. the history buffer maintains the correct arch itectural machine state. an exception is taken only when the instruction is ready to be retired from the machine (i.e., after all previously-issued instructions have already been retired from the machine). when an exception is taken, all instructions following the excepting instruction are canceled, i.e., the values of the affected destination registers are restored using the values saved in the history buffer during the dispatch stage. figure 3-4 shows basic instruction pipeline timing. figure 3-4 basic instruction pipeline table 3-22 indicates the latency and blockage for each type of instruction. latency re- fers to the interval from the time an instruction begins execution until it produces a re- sult that is available for use by a subsequent instruction. blockage refers to the interval from the time an instruction begins execution until its execution unit is available for a subsequent instruction. note that when the blockage equals the latency, it is not pos- sible to issue another instruction to the same unit in the same cycle in which the first instruction is being written back. i1 i2 i1 i1 i1 store i3 i2 i2 i2 fetch decode read and execute write back (to dest reg) l address drive l data load write back branch decode branch execute i1 i1 i1 load i1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-38 3.13 powerpc user instruction set architecture (uisa) 3.13.1 computation modes the core of the MPC555 / mpc556 is a 32-bit implementation of the powerpc archi- tecture. any reference in the powerpc architecture books (uisa, vea, oea) regard- ing 64-bit implementations are not supported by the core. all registers except the floating-point registers are 32 bits wide. 3.13.2 reserved fields reserved fields in instructions are described under the specific instruction definition sections. unless otherwise stated in the spec ific instruction description, fields marked ?i ?, ? ii? and ? iii? in the instruction are discarded by the core decoding. thus, this type of invalid form instructions yield results of the defined instructions with the appropriate field zero. in most cases, the reserved fields in registers are ignored on write and return zeros for them on read on any control register implemented by the MPC555 / mpc556. excep- tion to this rule are bits 16:23 of the fixed-point exception cause register (xer) and the reserved bits of the machine state register (msr), which are set by the source value on write and return the value last set for it on read. 3.13.3 classes of instructions non-optional instructions are implemented by the hardware. optional instructions are executed by implementation-dependent code and any attempt to execute one of these commands causes the MPC555 / mpc556 to take the implementation-dependent soft- ware emulation interrupt (offset 0x01000 of the vector table). illegal and reserved instruction class instructions are supported by implementation- dependent code and, thus, the MPC555 / mpc556 hardware generates the implemen- table 3-22 instruction latency and blockage instruction type precision latency blockage floating-point multiply-add double single 7 6 7 6 floating-point add or subtract double single 4 4 4 4 floating-point multiply double single 5 4 5 4 floating-point divide double single 17 10 17 10 integer multiply ? 2 1 or 2 1 notes: 1. refer to section 7 instruction timing, in the rcpu reference manual (rcpurm/ad) for details. integer divide ? 2 to 11 1 2 to 11 1 integer load/store ? see note 1 see note 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-39 tation-dependent software emulation interrupt. invalid and preferred instruction forms treatment by the MPC555 / mpc556 is described under the specific processor compli- ance sections. 3.13.4 exceptions invocation of the system software for any instruction-caused exception in the MPC555 / mpc556 is precise, regardless of the type and setting. 3.13.5 the branch processor 3.13.6 instruction fetching the core fetches a number of instructions into its internal buffer (the instruction pre- fetch queue) prior to execution. if a program modifies the instructions it intends to ex- ecute, it should call a system library program to ensure that the modifications have been made visible to the instruction fetching mechanism prior to execution of the mod- ified instructions. 3.13.7 branch instructions the core implements all the instructions defined for the branch processor by the uisa in the hardware. for performance of various instructions, refer to table 3-22 of this manual. 3.13.7.1 invalid branch instruction forms bits marked with z in the bo encoding definition are discarded by the MPC555 / mpc556 decoding. thus, these types of invalid form instructions yield result of the de- fined instructions with the z bit zero. if the decrement and test ctr option is specified for the bcctr or bcctrl instructions, the target address of the branch is the new value of the ctr. condition is evaluated correctly, including the value of the counter after decrement. 3.13.7.2 branch prediction the core uses the y bit to predict path for pre-fetch. prediction is only done for not- ready branch conditions. no prediction is done for branches to link or count register if the target address is not ready. refer to rcpu reference manual ( conditional branch control) for more information. 3.13.8 the fixed-point processor 3.13.8.1 fixed-point instructions the core implements the following instructions:  fixed-point arithmetic instructions  fixed-point compare instructions  fixed-point trap instructions  fixed-point logical instructions f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-40  fixed-point rotate and shift instructions  move to/from system register instructions all instructions are defined for the fixed-point processor in the uisa in the hardware. for performance of the various instructions, refer to table 3-22 . ? move to/from system re gister instructions. move to/from invalid special registers in which spr0 = 1 yields invocation of the privilege instruction error in- terrupt handler if the processor is in problem state. for a list of all implemented special registers, refer to table 3-2 superv isor-level sprs , and table 3-3 development support sprs . ? fixed-point arithmetic instructions. if an attempt is made to perform any of the divisions in the divw[o][.] instruction: 0x80000000 -1 0 then, the contents of rt are 0x80000000 and if rc =1, the contents of bits in cr field 0 are lt = 1, gt = 0, eq = 0, and so is set to the correct value. if an attempt is made to perform any of the divisions in the divw[o][.] instruction, 0. then, the contents of rt are 0x80000000 and if rc = 1, the contents of bits in cr field 0 are lt = 1, gt = 0, eq = 0, and so is set to the correct value. in cmpi, cmp, cmpli, and cmpl instructions, the l-bit is applicable for 64-bit implementations. in 32-bit implementations, if l = 1 the instruction form is invalid. the core ignores this bit and therefore, the behavior when l = 1 is identical to the valid form instruction with l = 0 3.13.9 floating- point processor 3.13.9.1 general the MPC555 / mpc556 implements all floating-point features as defined in the uisa , including the non-ieee working mode. some features require software assistance. for more information refer to rcpu reference manual (floating-point load instruc- tions) for more information. 3.13.9.2 optional instructions the only optional instruction implemented by MPC555 / mpc556 hardware is store floating point as integer word indexed ( stfiwx ). an attempt to execute any other op- tional instruction causes the implementation dependent software emulation interrupt to be taken. 3.13.10 load/store processor the load/store processor supports all of the 32-bit implementation fixed-point power- pc load/store instructions in the hardware. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-41 3.13.10.1 fixed-point load with update and store wi th update instructions for load with update and store with update in structions, where ra = 0, the ea is writ- ten into r0. for load with update instructions, where ra = rt, ra is boundedly unde- fined. 3.13.10.2 fixed-point load and store multiple instructions for these types of instructions, ea must be a multiple of four. if it is not, the system alignment error handler is invoked. for a lmw instruction (if ra is in the range of reg- isters to be loaded), the instruction comp letes normally. ra is then loaded from the memory location as follows: ra mem(ea+(ra-rt)*4, 4) 3.13.10.3 fixed-point load string instructions load string instructions behave the same as load multiple instructions, with respect to invalid format in which ra is in the range of registers to be loaded. in case ra is in the range, it is updated from memory. 3.13.10.4 storage sync hronization instructions for these type of instructions, ea must be a multiple of four. if it is not, the system alignment error handler is invoked. 3.13.10.5 floating-poin t load and store with update instructions for load and store with update instructions, if rt = 0 then the ea is written into r0. 3.13.10.6 floating-point load single instructions in case the operand falls in the range of a single denormalized number the floating- point assist interrupt handler is invoked. refer to rcpu reference manual (floating-point assist for denormalized operands) for complete description of handling denormalized floating-point numbers. 3.13.10.7 floating-point store single instructions in case the operand falls in the range of a single denormalized number, the floating- point assist interrupt handler is invoked. in case the operand is zero it is converted to the correct signed zero in single-pre- cision format. in case the operand is between the range of single denormalized and double denor- malized it is considered a programming error. the hardware will handle this case as if the operand was single denormalized. in case the operand falls in the range of double denormalized numbers it is considered a programming error. the hardware will handle this case as if the operand was zero. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-42 the following check is done on the stored operand in order to determine whether it is a denormalized single-precision operand and invoke the floating-point assist interrupt handler handler: (frs 1:11 0) and (frs 1:11 896) refer to rcpu reference manual (floating-point assist for denormalized operands) for complete description of handling denormalized floating-point numbers. 3.13.10.8 optional instructions no optional instructions are supported. 3.13.10.9 little-endian byte ordering the load/store unit supports little-endian byte ordering as specified in the uisa . in lit- tle-endian mode, if an attempt is made to execute an individual scalar unaligned trans- fer, as well as a multiple or string instruction, an alignment interrupt is taken. 3.14 powerpc virtual environment architecture (vea) 3.14.1 atomic update primitives both the lwarx and stwcx instructions are implemented according to the powerpc ar- chitecture requirements. the MPC555 / mpc556 does not provide support for snoop- ing an external bus activity outside the chip. the provision is made to cancel the reservation inside the MPC555 / mpc556 by using the cr_b and kr_b input pins. 3.14.2 effect of opera nd placement on performance the load/store unit hardware supports all of the powerpc load/store instructions. an optimal performance is obtained for naturally aligned operands. these accesses result in optimal performance (one bus cycle) for up to 4 bytes size and good performance (two bus cycles) for double precision floating -point operands. unal igned operands are supported in hardware and are broken into a series of aligned transfers. the effect of operand placement on performance is as stated in the vea , except for the case of 8- byte operands. in that case, since the MPC555 / mpc556 uses a 32-bit wide data bus, the performance is good rather than optimal . 3.14.3 storage control instructions the MPC555 / mpc556 does not implement cache control instructions ( icbi , isync , dcbt , dcbi , dcbf , dcbz , dcbst , and dcbtst ) . 3.14.4 instruction synchronize ( isync ) instruction the isync instruction causes a reflect which wait s for all prior instructions to complete and then executes the next sequential instruction. any instruction after an isync will see all effects of prior instructions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-43 3.14.4.1 enforce in-order execution of i/o ( eieio ) instruction when executing an eieio instruction, the load/store unit will wait until all previous ac- cesses have terminated before issuing cycles associated with load/store instructions following the eieio instruction. 3.14.5 timebase a description of the timebase register may be found in section 6 system config- uration and protection and in section 8 clocks and power con- trol . 3.15 powerpc operating environment architecture (oea) the MPC555 / mpc556 has an internal memory space that includes memory-mapped control registers and internal memory used by various modules on the chip. this mem- ory is part of the main memory as seen by the MPC555 / mpc556 but cannot be ac- cessed by any external system master. 3.15.1 branch processor registers 3.15.1.1 machine state register (msr) the floating-point exception mode encoding in the MPC555 / mpc556 core is as fol- lows: : the sf bit is reserved set to zero the ip bit initial state after reset is set as programmed by the reset configuration as specified by the usiu specification. 3.15.1.2 branch processors instructions the MPC555 / mpc556 implements all the instructions defined for the branch proces- sor in the uisa in the hardware. 3.15.2 fixed-point processor 3.15.2.1 special purpose registers  unsupported registers ? the following registers are not supported by the MPC555 / mpc556: sdr, ear, ibat0u , ibat0l, ibat1u, ibat1l, ibat2u, ibat2l, ibat3u, ibat3l, dbat0u, dbat0l, dbat1u, dbat1l, dbat2l, table 3-23 floating-poin t exception mode encoding mode fe0 fe1 ignore exceptions 0 0 precise 0 1 precise 1 0 precise 1 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-44 dbat3u, dbat3l  added registers ? for a list of added special purpose registers, refer to table 3-2 , and table 3-3 . 3.15.3 storage control instructions storage control instructions mtsr, mtsrin, mfsr, mfsrin, dcbi, tlbie, tlbia, and tlb- sync are not implemented by the MPC555 / mpc556. 3.15.4 interrupts the core implements all storage-associated interrupts as precise interrupts. this means that a load/store instruction is not complete until all possible error indications have been sampled from the load/store bus. this also implies that a store, or a non- speculative load instruction is not issued to the load/store bus until all previous instruc- tions have completed. in case of a late error, a store cycle (or a nonspeculative load cycle) can be issued and then aborted. in each interrupt handler, when registers srr0 and srr1 are saved, msr ri can be set to 1. the following paragraphs define the types of oea interrupts the exception table vec- tor defines the offset value by interrupt type. refer to table 3-21 . 3.15.4.1 system reset interrupt a system reset interrupt occurs when the irq0 pin is asserted and the following reg- isters are set. register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that the proces- sor attempts to execute next if no interrupt conditions are present save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-45 3.15.4.2 machine check interrupt a machine check interrupt indication is received from the u-bus as a possible re- sponse either to the address or data phase. it is usually caused by one of the following conditions:  the accessed address does not exist  a data error is detected as defined in the oea , machine check interrupts are enabled when msr me = 1. if msr me = 0 and a machine check interrupt indication is received, the processor enters the checkstop state. the behavior of the MPC555 / mpc556 in checkstop state is de- pendent on the working mode as defined in 21.4.1.1 debug mode enable vs. debug mode disable . when the processor is in debug mode enable, it enters the debug mode instead of the checkstop state. when in debug mode disable, instruction pro- cessing is suspended and cannot be restarted without resetting the core. an indication is sent to the siu which may generate an automatic reset in this condi- tion. refer to section 7 reset for more details. if the machine check interrupt is enabled, msr me = 1, it is taken. if srr1 bit 30 = 1, the interrupt is recoverable and the following registers are set. for load/store bus cases, these registers are also set: execution resumes at offset 0x00200 from the base address indicated by msr ip . 3.15.4.3 data storage interrupt a data storage interrupt is never generated by the hardware. the software may branch to this location as a result of implementation-specific data storage protection error in- terrupt. register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that caused the interrupt save/restore register 1 (srr1) 1 set to 1 for instruction fetch-related errors and 0 for load/ store-related errors 2:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-46 3.15.4.4 instruction storage interrupt an instruction storage interrupt is never generated by the hardware. the software may branch to this location as a result of an implementation-specific instruction storage pro- tection error interrupt. 3.15.4.5 alignment interrupt an alignment exception occurs as a result of one of the following conditions:  the operand of a floating-point load or store is not word aligned.  the operand of load/store multiple is not word aligned.  the operand of lwarx or stwcx is not word aligned.  the operand of load/store individual scalar instruction is not naturally aligned when msr le = 1.  an attempt to execute multiple/string instruction is made when msr le = 1. 3.15.4.6 floating-point enabled exception type program interrupt a floating-point enabled exception type program interrupt is generated if ((msr fe0 | msr fe1 ) &fpscr fex ) is set as a result of move to fpscr instruction, move to msr instruction or the execution of the rfi instruction. a floating-point enabled exception type program interrupt is not generated by floating-point arithmetic instructions. in- stead if ((msr fe0 | msr fe1 ) &fpscr fex ) is set, the floating-point assist interrupt is generated. 3.15.4.7 illegal instruction type program interrupt an illegal instruction type program interrupt is not generated by the MPC555 / mpc556. an implementation dependent software emulation interrupt is generated in- stead. 3.15.4.8 privileged instru ction type program interrupt a privileged instruction type program interrupt is generated for an on-core valid spr field or any spr encoded as an external to the core special register if spr 0 = 1 and msr pr = 1, as well as an attempt to execute privileged instruction when msr pr = 1. register name bits description data/storage interrupt status register (dsisr) 0:14 set to 0 15:16 set to bits 29:30 of the instruction if x-form and to 0b00 if d- form 17 set to bit 25 of the instruction if x-form and to bit 5 if d-form 18:21 set to bits 21:24 of the instruction if x-form and to bits 1:4 if d-form 22:31 set to bits 6:15 of the instruction data address register (dar) set to the effective address of the data access that caused the interrupt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-47 3.15.4.9 floating-poin t unavailable interrupt the floating-point unavailable interrupt is generated by the MPC555 / mpc556 core as defined in the oea . 3.15.4.10 trace interrupt a trace interrupt occurs if msr se = 1 and any instruction except rfi is successfully com- pleted or msr be = 1 and a branch is completed. notice that the trace interrupt does not occur after an instruction that caused an interrupt (for instance, sc ). a monitor/de- bugger software must change the vectors of other possible interrupt addresses to sin- gle-step such instructions. if this is unacceptable, other debug features can be used. refer to section 21 development support for more information. the follow- ing registers are set: execution resumes at offset 0x00d00 from the base address indicated by msr ip . 3.15.4.11 floating-po int assist interrupt a floating-point assist interrupt occurs in the following cases:  when a floating-point exception condition is detected, the corresponding floating- point enable bit in the fpscr (floating-point status and control register) is set (ex- ception enabled) and ((msr fe0 | msr fe1 ) = 1). note that when ((msr fe0 | msr fe1 ) and fpscr fex ) is set as a result of move to fpscr, move to msr or rfi , the floating-point assist interrupt handler is not invoked.  when an intermediate result is detected and the floating-point underflow excep- tion is disabled (fpscr ue = 0)  in some cases when at least one of the source operands is denormalized. the following registers are set: execution resumes at offset 0x00e00 from the base address indicated by msr ip. register name bits description save/restore register 0 (srr0) set to the effective address of the instruction following the ex- ecuted instruction save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-48 3.15.4.12 implementati on-dependent software emulation interrupt an implementation-dependent software emulation interrupt occurs in the following in- stances:  when executing any non-implemented instruction. this includes all illegal and un- implemented optional instructions and all floating-point instructions.  when executing a mtspr or mfspr that specifies on-core non-implemented reg- ister, regardless of spr 0 .  when executing a mtspr or mfspr that specifies off-core non-implemented reg- ister and spr 0 = 0 or msr pr = 0 (no program interrupt condition).  program interrupt is generated if ((msr fe0 | msr fe1 ) and fpscr fex ) is set as a result of move to fpscr instruction, move to msr instruction, or the execution of the rfi instruction.  floating-point enabled exception type program interrupt is not generated by float- ing-point arithmetic instructions, instead if ((msr fe0 | msr fe1 ) &fpscr fex ) is set, the floating-point assist interrupt is generated. in addition, the following registers are set: register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that caused the interrupt save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr 1 machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 notes: 1. in the current implementation bit 30 of the srr1 is never cleared other then by loading zero value from msr ri. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-49 execution resumes at offset 0x01000 from the base address indicated by msr ip . 3.15.4.13 implementation-specific instruction storage protection error interrupt the implementation-specific instruction storage protection error interrupt occurs in the following cases:  the fetch access violates storage protection.  the fetch access is to guarded storage and msr ir = 1. the following registers are set: register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that caused the interrupt save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-50 execution resumes at offset 0x01300 from the base address indicated by msr ip . 3.15.4.14 implementation-specific da ta storage protection error interrupt the implementation-specific data storage protection error interrupt occurs in the fol- lowing case:  the access violates the storage protection. the following registers are set: register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that caused the interrupt save/restore register 1 (srr1) 1 set to 0 2 set to 0 3 set to 1 if the fetch access was to a guarded storage when msr ir = 1, otherwise set to 0 4 set to 1 if the storage access is not permitted by the protec- tion mechanism; otherwise set to 0 10 set to 0 11:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-51 execution resumes at offset 0x01400 from the base address indicated by msr ip . 3.15.4.15 implementation- specific debug interrupts implementation-specific debug interrupts occur in the following cases:  when there is an internal breakpoint match (for more details, refer to section 21 development support .  when a peripheral breakpoint request is asserted to the MPC555 / mpc556 core.  when the development port request is asserted to the MPC555 / mpc556 core. refer to section 21 development support for details on how to generate the development port-interrupt request. the following registers are set: register name bits description save/restore register 0 (srr0) set to the effective address of the instruction that caused the interrupt save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 data/storage interrupt status register (dsisr) 0 set to 0 1 set to 0 2:3 set to 0 4 set to 1 if the storage access is not permitted by the protec- tion mechanism. otherwise set to 0 5 set to 0 6 set to 1 for a store operation and to 0 for a load operation 7:31 set to 0 data address register (dar) set to the effective address of the data access that caused the interrupt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-52 for l-bus breakpoint instances, these registers are set to: execution resumes at offset from the base address indicated by msr ip as follows:  0x01d00 ? for instruction breakpoint match  0x01c00 ? for data breakpoint match  0x01e00 ? for development port maskable request or a peripheral breakpoint  0x01f00 ? for development port non-maskable request 3.15.4.16 partially executed instructions in general, the architecture permits instructions to be partially executed when an align- ment or data storage interrupt occurs. in the core, instructions are not executed at all if an alignment interrupt condition is detected and data storage interrupt is never gen- erated by the hardware. in the MPC555 / mpc556, the instruction can be partially ex- ecuted only in the case of the load/store instructions that cause multiple access to the memory subsystem. these instructions are: register name bits description save/restore register 0 (srr0) for i-breakpoints, set to the effective address of the instruc- tion that caused the interrupt. for l-breakpoint, set to the ef- fective address of the instruction following the instruction that caused the interrupt. for development port maskable request or a peripheral breakpoint, set to the effective address of the instruction that the processor would have executed next if no interrupt conditions were present. if the development port re- quest is asserted at reset, the value of srr0 is undefined. save/restore register 1 (srr1) 1:4 set to 0 10:15 set to 0 other loaded from bits 16:31 of msr. in the current implementa- tion, bit 30 of the srr1 is never cleared, except by loading a zero value from msr ri . if the development port request is asserted at reset, the value of srr1 is undefined. machine state register (msr) ip no change me no change le bit is copied from ile other set to 0 register name bits description bar set to the effective address of the data access as computed by the instruction that caused the interrupt dar and dsisr do not change f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-53  multiple/string instructions  unaligned load/store instructions in the last case, the store instruction can be partially completed if one of the accesses (except the first one) causes the data storage protection error. the implementation- specific data storage protection interrupt is taken in this case. for the update forms, the update register (ra) is not altered. 3.15.5 timer facilities descriptions of the timebase and decrementer registers can be found in section 6 system configuration and protection and in section 8 clocks and power control . 3.15.6 optional facili ties and instructions any other oea optional facilities and instructions (except those that are discussed here) are not implemented by the MPC555 / mpc556 hardware. attempting to execute any of these instructions causes an implementation dependent software emulation in- terrupt to be taken. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 central processing unit motorola user?s manual rev. 15 october 2000 3-54 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-1 section 4 burst buffer the burst buffer module consists of the burst buffer controller (bbc) and the instruc- tion memory protection unit (impu). the bbc delivers the rcpu instruction fetc h accesses from the instruction bus onto the u-bus. it utilizes the full u-bus pipeline and a special page access attribute in order to take full advantage of the u-bus bandwidth. it can handle both burstable and non- burstable external memories as well as non-burstable internal memories (flash ee- prom, sram). code compression features are only available on the mpc556. the mpc556 utilizes a version of code compression / decompression which is called ?phase a?. phase a code compression / decompression is described in this manual. future parts may have a different type of code compression. the bbc also contains the functional module which is called the instruction code decompressor unit (icdu). the icdu is responsi- ble for on-line (previously compressed) inst ruction code decompression in the ?de- compression-on? mode. in the ?decompression-off? mode, the icdu is bypassed and the bbc is in normal function. the impu allows the memory to be divided into four regions with different attributes, as well as a default global region (for memory space that is not included in either of the two regions). each of the two regions can be of size four kbytes to four gbytes. over- lap between regions is allowed. the impu includes registers that contain the following information: region base ad- dress, region size and the region?s a ccess permissions. for each access (from the processor to the memory), the impu finds which region matches the address. if more than one region matches, the region with the lowest index is chosen. if no region is matched, the global region is chosen. the impu compares the attributes of the access from the processor to the attributes of the appropriate region. if the access is allowed, the proper signals are sent to the bbc. if the access is not permitted, an interrupt is sent to the processor. the impu does not support address translation. the effective fetch address issued by the processor is the one that is transferred to the u-bus. 4.1 burst buffer block diagram figure 4-1 is a block diagram of the burst buffer. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-2 figure 4-1 burst buffer block diagram 4.2 burst buffer features the bbc offers the following features:  supports pipelined access to internal memory and burstable access to the exter- nal memory.  supports the de-coupled interface with the rcpu instruction unit.  serves as parked master on the u-bus, resulting in zero clocks delay for rcpu fetch access to cross to the u-bus.  full utilization of the u-bus pipeline for fetch accesses.  tightly interfaced with l2u interface module, taking advantage of full u-bus band- width and back-to-back accesses.  supports program trace and show cycle attributes.  supports special attribute for debug port fetch accesses.  is programmed using the MPC555 / mpc556 mtspr/mfspr instructions to/from implementation specific special-purpose registers.  designed for minimum power consumption. the icdu offers the following features:  instruction code on-line decompression based on a fixed vocabulary (bounded huffman) algorithm.  no need for address translation between compressed and non-compressed ad- dress spaces ? icdu provides ?next instruction address? to the rcpu  instruction decompression takes one clock cycle.  code decompression is pipelined.  no performance penalty during sequential program flow execution impu bbc in-page access protection-abort to u-bus rcpu u-bus instructionaddress bus icdu--decompressor f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-3 ? minimal performance penalty due to change of program flow execution  two operation modes are available: ?decompression on? and ?decompression off?. switch between compressed and non-compressed user application soft- ware parts is possible. the impu has the following features:  four regions in which the base address and size can be programmed.  region sizes of four kbytes up to four gbytes (in powers of two) can be pro- grammed. (a region must start on the specified region size boundary.)  overlap between regions is allowed.  each of the four regions supports the following attributes: ? access protection (use r/ supervisor fetch or no access). ? guarded attribute (causes an interrupt in case of fetch try). ? on / off option ? compressed / non-compressed.  global region entry declares the default access protection and guarded attributes for all memory areas not covered by the four regions:  interrupt generated upon access violation or fetch from guarded region.  MPC555 / mpc556 msr[ir] bit controls mpu protection.  programming is done using MPC555 / mpc556 mtspr/mfspr instructions to/from implementation specific special purpose registers.  designed for minimum power consumption.  compressed/non-compressed region with enable/disable option.  special reset exception vector for ?decompression on? mode. 4.3 instruction vocabularybased co mpression model main principles 4.3.1 compression model features  implemented for powerpc architecture  up to 30% code size reduction  no need for address translation tables  no changes in the cpu architecture  compression is done off line by a special ?compressor? tool, using a fixed vocab- ulary instruction based algorithm, optimized for the powerpc instruction set.  decompression is done at run-time with special hardware.  optimized for cache-less systems: ? highly effective in system solutions for low cache-hit ratio environment and for systems with fast embedded program memory ? deterministic program execution time ? no performance penalty during sequential program flow execution ? minimal performance penalty due to change of program flow execution  switch between compressed and non-compressed user application sections is possible. (compressed subroutine can call non-compressed one and be called from non-compressed portion of user application) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-4  slight changes in the core and existing risc development tools ? compilers, simulators, manually coded libraries.  compressed address space is up to four megabytes (4 mbytes).  branch displacement from its target: ? conditional branch displacement is up to two kbytes (2 kbytes). ? unconditional branch displacement is up to two mbytes (2 mbytes). note branch displacement is hardware limited. the compiler can enlarge the branch scope by creating branch chains. 4.3.2 model limitations no address arithmetic is allowed, because the address map changes during compres- sion and no software tool can identify address arithmetic structures in the code. 4.3.3 vocabulary based instru ction compression algorithm the code compression algorithm is based on creating vocabularies of frequently appearing powerpc risc instructions or instruction halves and replacing these instructions with pointers to the vocabularies. compressed and bypass field lengths may va ry. an example of compressed code is shown in figure 4-2 . compression of the instructions in a vocabulary may be in one of the following modes. 1. compression of the whole instruction into four vocabulary byte pointers. the four compacted bytes may start on any bit location. four of the decoded bits and another bit for starting from the left or right side of the address location de- termine the bit location for the byte start 2. compression of a combination of the in struction?s bytes into vocabulary point- ers and bypass of the other byte(s). bypass is the placing of the field?s uncom- pressed instruction information into the compressed code. 3. bypass of the whole instruction. no compaction permitted. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-5 figure 4-2 example of compressed code each instruction is divided to four bytes, marked x1, x2, x3 and x4. for each such byte a separate (huffman coding) vocabulary is generated, marked tx1, tx2, tx3 and tx4. once compressed, each instruction yields four symbols (corresponding to the x1, x2, x3, and x4 input bytes). therefore, in order to compress a given code, four vocab- ularies are required. this partitionong produced a better comression ratio. figure 4-3 instruction coding 4 1 original code 4 saved 0 4 8 8 c 10 14 18 compressed 0 3 2 1 0 4 8 8 c 10 14 18 0 2 3 0 23 24 vocabulary1 31 15 8 7 tx1 x1 16 x2 x3 x4 vocabulary2 vocabulary3 vocabulary4 tx2 tx3 tx4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-6 4.3.4 memory organization in order to enhance performance, the logic is built to decode two halves of an instruc- tion in parallel. the memory is arranged to support this as two streams of compressed symbols: the left stream for the compressed symbols of x1 and x2 bytes, and the right stream for the compressed symbols of x3 and x4 bytes. figure 4-4 two streams memory or ganization ? before compression in figure 4-4 , each left and right stream line includes two original bytes of the instruc- tion. figure 4-5 , shows the memory after compressed streams have been put into it. figure 4-5 two streams memory organization ? af ter compression x1, x2 x3, x4 31 015 16 right stream left stream instruction code left bit pointer right bit pointer base address left stream right stream 1 0 0 boundary bit field 0 0 0 1112 1819 30 31 1 1 0 1 1 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-7 the compiler will set the left and right stream boundary at either bit 12 or bit 19. this will be determined by the most efficient placement of compressed instruction code. the boundary will be placed between bits 11 and 12 if bit 31 is equal to one. the boundary will be placed between bits 18 and 19 if bit 31 is equal to zero. the original right and left streams may span an adjacent base addresses before or after each oth- er. this fact will also determine the placement of the boundary bit field. each stream line may include a variable number of compressed symbols, depending on how well the bytes in the original stream were compressed. the decompressor has to maintain two bit pointers (left and right) in order to have ac- cess to the start location of any instruction?s half. the decompressor maintains tracking of the base address, to start fetching from the next address in the memory. figure 4-6 examples of compressed symbols layout n+1 uncompressed code compressed code stream 11 boundary assumed to be 12 for both lines (n) and (n+1) are word addresses in the original uncompressed code 11 xn+1,1 xn,1 xn,2 n 8 15 n+1 n 8 15 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-8 4.3.5 compressed code address format the format of the compressed code in me mory requires special addressing. the decompressor module is responsible for generating compressed code addresses. the compressed instruction stream may start on any of the 32 bits. thus, five bits are needed to locate such instruction inside a memory word. the instruction address in ?decompression on? mode consists of a 20-bit word pointer for the base address, bits 20 and 21 to show the relation between the left and right streams, and two 5-bit instruc- tion pointers. this is known as the two-pointer address form. see figure 4-7 . : figure 4-7 compressed address format the base address contains the lowest word address of physical memory where the in- struction resides. the ?left / right? bit, bit number 20, indicates which instruction stream side (left or right) resides in the memory word location being pointed to by the base address. a zero ?0? for bit 20 will indicate that the left side is resident in the base address location. a one ?1? for bit 20 will indicate that the right side is resident in the base address location. the instruction stream side not pointed to will reside in the following address location. the ?same line? bit, bit 21, reflects the relative location of the two side streams for the instruction. if bit 21 is zero ?0?, both left and right streams are located at the base ad- dress location. in this case, bit 20 has no meaning and is a ?don?t care? value of x. if bit 21 is one ?1?, then the two parts of the instruction are located in different address word locations (one at ?x? base address, the other at ?x+4?). figure 4-8 illustrates the three possible cases for bits 20 and 21. 1 1 compressed instruction address memory layout base address x x+4 x+8 x+c right pointer start bit - compressed instruction 0 19 word pointer - base address 22 26 27 31 right pointer ?left / right? bit ?same line? bit left pointer f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-9 : figure 4-8 examples of instruction layout in memory 4.3.6 compressed address format ? direct branches the one pointer format is used for the conditional and unconditional direct branches. figure 4-9 illustrates the one pointer format. t he word pointer for the unconditional branch has nineteen bits (the lower two-byte bits are ignored). this will yield an uncon- ditional branch displacement limit of two mbytes. the word pointer for the conditional 0 1 compressed instruction base address x x+4 0 19 word pointer - base address 22 26 27 31 right pointer pointer left left/right = x (don?t care) ( left and right are at the base address), same_line = 0 base address x x+4 1 1 x 0 0 19 word pointer - base address 22 26 27 31 right pointer pointer left 0 19 word pointer - base address 22 26 27 31 right pointer pointer left left/right = 1 (right side is first at the base address), same_line = 1 left/right = 0 (left side is first at the base address), same_line = 1 base address x x+4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-10 branch has nine bits. this will yield a conditional branch displacement limit of two kbytes. when a change of flow occurs, the sequencer of the ppc core will issue the new ad- dress in compression mapped format. the address extractor unit of the bbc gener- ates the direct branch addre ss format to internal memory. indirect branches use the regular two pointer format described in the previous section. figure 4-9 generating co mpressed code address for powerpc direct branches 0 6 base address of the 25 25 30 31 word pointer from 30 31 30 31 30 31 27 31 0 25 6 16 16 0 0 0 unconditional immediate branch instruction before compression mapping unconditional immediate branch instruction after compression mapping (i-form) conditional immediate branch instruction before compression mapping conditional immediate branch instruction after compression mapping (b-form) direct (internal) branch address format (one pointer format) 5-biit pointer 5-bit pointer 5-bit pointer word pointer word pointer - base address word pointer word pointer word pointer branch instruction the immediate field calculator base address f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-11 an instruction in memory which will serve as the target of a branch will have a label attached. the label provides the needed pointer to the other half of the branch target instruction. the label token will be skipped in normal sequential operation. the label has three parts. first, the label prefix character (which is skipped by the decompres- sor). second, a 5-bit pointer to the second half of the instruction. third, a bit which in- dicates the location of the second instruction half on the same line or the next line. figure 4-10 extracting direct branch target address in the decompressor 4.3.7 compressed address format ? indirect branches indirect branches use the regular two pointer format described above. the indirect branch destination address is copied without any change from one of the following reg- isters:  lr  ctr  srr0 see the powerpc? rcpu user?s manual , rcpurm/ad , for more details. 4.3.8 compression process the compression process is implemented by the following steps (see figure 4-11 ):  user code compilation/linking  user application code compression by software compression tool. the compiler will add a few simple ?hooks? to the compiled code which will make com- pression possible. compiled code will be generated in the ?elf? format for code instruction code label token base address left stream right stream 1 0 0 boundary bit field 0 0 0 11 12 1819 30 31 1 1 0 1 1 1 label format prefix character 5-bit pointer same / next line bit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-12 compression purposes. the resulting uncompressed elf code (with compression hooks) will load and run like any other elf code. the software compression tool compresses the elf code (x.elf) and produces a com- pressed elf code (x.elf.sqz). the system sees the compressed elf code as regular elf formatted code for purposes of loading into hardware (when programming the flash). however, the decompression module must now be used to run the compressed elf code. figure 4-11 illustrates the several steps for generating compressed executable code. once generated, this code can be loaded into flash or sram (internal or external) . figure 4-11 code comp ression process (phase a) the compression tool replaces regular powerpc instructions by their ?compressed? representation which contain fewer data bits. the compressed data bit representation is contained in the vocabulary. the vocabulary is structured into a binary bounded huffman code tree. this method has the result of the first instructions being repre- sented by fewer bits. further instructions require more bits for unique decoding. therefore, the instructions that occur most in code should be represented earlier in the vocabulary structure. this would produce th e most condensed code. a statistical study was made of typical application code. the existing vocabulary is fixed for phase a compiler/ program executable compressor linker tool vocabulary non-compressed program executable compressed x.elf (with hooks) x.elf.sqz f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-13 code compression, and is a result of the statistical study. figure 4-12 illustrates the binary decode tree for specific instructions. figure 4-12 bounded huffman code tree in figure 4-12 , instruction ?a? would require two bits. the bypass node would require four bits. the bounded form of the huffman code tree is limited in size for implemen- tation into hardware. the largest compressed instruction is 36 bits ? four bits for the bypass mode plus the normal uncompressed 32-bit instruction. 4.3.9 decompression  the instruction code is stored in the memory in the compressed form  the decode vocabulary is stored in the burst buffer controller (bbc).  the decompression is done on-line by the dedicated decompressor unit in the bbc.  decompression flow: (see figure 4-11 ) ? rcpu provides a ?bit aligned cof 1 address? to the bbc. ? icdu:  converts cof address to ?word aligned phy sical address? to access the memory  fetches the compressed instruction code data from the memory, decom- presses it and delivers ?non-compressed instruction code? together with the bit aligned ?next instruction address? to the rcpu, that uses it for sub- routine and exceptions handling.  when instructions are running without a cof, the next instruction is pre- fetched and decoded in the current cycle. this eliminates any delays from code compression during regular sequential (non-cof) operation. 1. cof = change of flow byps_node b c a d e h g f an ?a? instruction half requires less bits than an ?h? instruction half. a bypass instruction requires four bits. = another bit = instruction location f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-14 figure 4-13 code de compression process 4.3.10 compression environment initialization at power on reset (por) or with a hard reset, the default settings will be activated unless the configuration word inputs override these defaults. the compression mode configuration data to be programmed is supplied by the user software in the flash. the hard reset configuration word (described in section 7 reset ) has two bits which control the code comp ression mode. bit 21 enables code compression when equal to ?1? and disables code compression when equal to ?0?. bit 22 defines the ex- ception table code as either compressed with a value of ?1? or non-compressed with a value of ?0?. 4.4 modes of operation the burst buffer module can operate in the following modes:  normal  slave  reset  debug  standby  burst the modes of operation are described in the following paragraphs. compressed memory instructions de vocabulary compressor powerpc? embedded cpu bit-aligned cof non-compressed instruction code address cof word-aligned physical address compressed instruction compressed space ?next instruction? address code bbc f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-15 4.4.1 normal operation during normal operation, the burst buffer m odule transfers fetch accesses from the cpu to the u-bus. when a new access is issued by the cpu, it is transferred in parallel to both the impu and the bbc. the impu co mpares the address of the access to its region programming. the bbc determines whether the access can be immediately transferred to the u-bus. if not, it requests the u-bus for the next clock. each new bbc u-bus access is accompanying by the burst request attribute. if burst- able access is enabled, the bbc performs a burst access; otherwise, it performs a sin- gle access. if the impu detects an access violation, it does the following:  cancels the request that was forwarded to the bbc  informs the rcpu core that the requested address generated an exception if the required address contains show cycl e or program trace attributes, the bbc de- livers the access to the u-bus even if the request is cancelled (due to the exception it caused). the bbc forwards show cycle, program trace and debug port access attributes ac- companying the cpu access along with the u-bus access. 4.4.2 slave operation. the burst buffer module is operating as a u-bus slave module when the instruction memory protection unit (impu) registers are accessed by the user in order to be pro- grammed. this programming is done using the mtspr /mfspr instructions. 4.4.3 reset operation on reset the bbc goes to an idle state, and all pending u-bus accesses are ignored. the impu goes to a disabled state in which all memory space is accessible to both user and supervisor. 4.4.4 debug mode operation when the cpu is in debug mode, fetch accesses are attached with a special attribute. if this attribute is asserted, the bbc must initiate not-burstable accesses to the debug port. 4.4.5 standby mode operation in this low-power mode the cpu stops issuing further accesses. the bbc clocks are turned off, and the bbc enters a power-save state. when the low-power mode is ex- ited, clocks are activated and a new access from the cpu will activate the bbc. 4.4.6 burst operation the bbc can run burst accesses on the u-bus. such burst cycles, if forwarded to ex- ternal memory, are then exported to the ebi as burst cycles (if bursts are enabled by the usiu). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-16 the be bit defined in 4.6.4 bbc module configuration register (bbcmcr) deter- mines whether the bbc operates burst cycles or not. burst requests are enabled only when the be bit is set. note the negated state of the be bit is useful mainly when the rcpu core runs in serialized mode. (refer to 21.7.6 i-bus support control register for the ictrl register.) 4.4.7 error detection if the impu detects access violation, the following actions must be taken: 1. cancel the request that was forwarded to the burst buffer controller 2. inform the rcpu core that the requested address generated an exception if the required address contains show cycle or program trace attributes, than the bbc delivers the access onto the u-bus even if the request is cancelled (due to the excep- tion it caused). the way the impu notifies the rcpu core for an interrupt is by feeding error informa- tion into four bits (1, 3, 4 and 10) in the srr1 register in the core. only one bit is set at a time. the exception vector (address) that the core issues for this event is 0xnnn0- 1300. the encoding of the status bits is as follows:  srr1 = 0  srr3 = guarded storage.  srr4 = protected storage.  srr10 = 0 4.5 exception table relocation the bbc has the ability to relocate the except ion table. exception table relocation is a feature to save memory space in the exception table. see 3.11.5 exception vector table for normal operation of the exception vector table. this is done by mapping ex- ceptions to be separated by eight bytes instead of 256 bytes (see table 4-1 ). the re- location feature maps the exception table into the internal memory space of the MPC555 / mpc556 and requries msr[ip] = 1. this feature is important in multi- MPC555 / mpc556 systems, where more than one mcu can have internal exception tables with the same exception addresses issued by the rcpu. the relocation feature also saves the wasted space between exception table entries when each exception entry contains only a branch instruction to the exception routine, which is located elsewhere. if exception relocation is enabled (etre bit is set in the bbcmcr), all exception rou- tines (except the reset exception routine) can be controlled to either remain in the low- er addresses of the memory (base address + exception offset) bbcmcr[oerc] = 0 or to be relocated to memory (base address + 32 kbytes) by setting bbcmcr[oerc] = 1. the reset exception routine location is fixed in memory (base address + the reset exception offset) and can not be relocated. see 4.6.4 bbc module configur ation register (bbcmcr) for programming details. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-17 4.5.1 exception table relocation operation when an exception is requested, the cpu initiates a fetch cycle that branches to the exception routine associated with the exception that caused the fetch. the exception addresses are fixed within the rcpu architecture and are 0x100 bytes apart from each other, starting at address 0x0000 _0100 or 0xfff0 _0100, de pending on the val- ue of the msr[ip] bit. if the relocation feature is disabled, the bbc transfers the exception fetch address to the internal bus of the MPC555 / mpc556 with no interference. in order to activate exception table relocation, the following steps are required: 1. set the msr[ip] bit. to set this bit out of reset, set the appropriate bit in the re- set configuration word. 2. set the etre bit in bbcmcr register. see 4.6.4 bbc module configuration register (bbcmcr) for programming details. 3. program absolute branch instructio ns at the locations indicated in table 4-1 pointing to the desired exception handler routines. if the relocation feature is enabled, the bbc translates the starting address of the ex- ception routine into the address located at the lowest portion of the internal memory. at that location, the user must insert a series (table) of consecutive branch instructions that point to the appropriate exception routines. note these branch instructions must utilize absolute addressing modes of the rcpu (relative branches can not be used). thus, the cpu branches twice to reach the appropriate exception routine. note 1 the eight kbytes allocated for the exception table can be almost fully utilized. this is possible if the MPC555 / mpc556?s address space is not mapped to the exception address space ? that is, if addresses 0xfff0_0000 to 0xfff0_1fff are not part of the MPC555 / mpc556 address space. in this case, these eight kbytes can be fully utilized by the compiler, except for the lower 64 words (256 bytes), which are reserved for the exception pointers. note 2 if the cpu issues any address that falls between two successive ex- ception entries (e.g., 0x fff0_0104), t hen an exceptio n is generated to the cpu if exception relocation is enabled. see 4.6.4 bbc module configuration register (bbcmcr) . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-18 . table 4-1 exception a ddresses mapping by bbc 1 notes: 1. see table 3-21 and 3.11.5 exception vector table for exception relocation table with etre = 0. name of exception address issued by cpu (msr[ip] = 1) mapped address by exception table relocation logic (bbcmcr[etre] = 1) bbcmcr[oerc] = 0 2 2. see 4.6.4 bbc module configuration register (bbcmcr) bbcmcr[oerc] = 1 reserved 0xfff0_0000 0x0000 0x8000 system reset 0xfff0_0100 0x0008 0x0008 3 3. the reset exception is not affected by oerc. machine check 0xfff0_0200 0x0010 0x8010 data storage 0xfff0_0300 0x0018 0x8018 instruction storage 0xfff0_0400 0x0020 0x8020 external interrupt 0xfff0_0500 0x0028 0x8028 alignment 0xfff0_0600 0x0030 0x8030 program 0xfff0_0700 0x0038 0x8038 floating point unavailable 0xfff0_0800 0x0040 0x8040 decrementer 0xfff0_0900 0x0048 0x8048 reserved 0xfff0_0a00 0x0050 0x8050 reserved 0xfff0_0b00 0x0058 0x8058 system call 0xfff0_0c00 0x0060 0x8060 trace 0xfff0_0d00 0x0068 0x8068 floating point assist 0xfff0_0e00 0x0070 0x8070 implementation dependant software emulation 0xfff0_1000 0x0080 0x8080 implementation dependant storage error 0xfff0_1300 0x0098 0x8098 implementation dependant data breakpoint 0xfff0_1c00 0x00e0 0x80e0 implementation dependant instruction breakpoint 0xfff0_1d00 0x00e8 0x80e8 implementation dependant maskable external breakpoint 0xfff0_1e00 0x00f0 0x80f0 non-maskable external breakpoint 0xfff0_1f00 0x00f8 0x80f8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-19 figure 4-14 excepti on table entries mapping 100 200 300 400 500 600 700 1f00 exception table exception pointer by rcpu internal memory structure branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... main code can start here f8 0 branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... branch to... f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-20 4.6 burst buffer programming model the bbc and impu module configuration registers are MPC555 / mpc556 special- purpose registers (sprs). they are programmed with the MPC555 / mpc556 mtspr/ mfspr instructions. all the registers can be accessed in supervisor mode only. the processor generates an exception internally if an attempt is ma de to access the registers from user mode. the following 32-bit registers contain the starting address and the size of the region. there is one register for each region. the following registers hold the attributes of the corresponding regions and of the de- fault region. each of the four mi_rax registers contains access permission attributes. the mi_gra (global region attribute) register contains two additional bits to enable each of the mi_rbax registers. the bbc holds only one register, the bbc module configuration register (bbcmcr). table 4-2 region base address registers rba[0:1] register name address (decimal) ub_addr[18:27] (hex) mi_rba[0] 784 0x2180 mi_rba[1] 785 0x2380 mi_rba[2] 786 0x2580 mi_rba[3] 787 0x2780 table 4-3 region attributes registers register name address (decimal) ub_addr [18:27] (hex) mi_ra[0] 816 0x2190 mi_ra[1] 817 0x2390 mi_ra[2] 818 0x2590 mi_ra[3] 818 0x2790 mi_gra 528 0x2100 table 4-4 bbc module configuration register register name addr (decimal) ub_addr [0:31] (hex) bbcmcr 560 0x2110 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-21 4.6.1 region base address registers 4.6.2 region attribute registers mi_ra[0:3] description , mi_rba[0:3] ? region base address register spr 784 ? 787 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ra reset: unaffected by reset 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 ra reserved reset: unaffected by reset table 4-5 mi_rba[0:3] bit descriptions bit(s) name description 0:19 ra region address. this field defines the base address (most significant 20 bits) for the region. 20:31 ? reserved mi_ra[0:3] ? region attribute register spr 816 ? 819 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 rs hreset u u u u u u u u u u u u u u u u 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 rs pp reserved g cmpr 1 ? notes: 1. available only on the mpc556. reserved hreset u u u u u u 0 0 0 u u u u 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-22 table 4-6 mi_ra[0:3] registers bits description bit(s) name description 0:19 rs region size. the region size is a power of two, determined as follows: 0000_0000_0000_0000_0000 ? 4 kbytes 0000_0000_0000_0000_0001 ? 8 kbytes 0000_0000_0000_0000_0011 ? 16 kbytes 0000_0000_0000_0000_0111 ? 32 kbytes 0000_0000_0000_0000_1111 ? 64 kbytes 0000_0000_0000_0001_1111 ? 128 kbytes 0000_0000_0000_0011_1111 ? 256 kbytes 0000_0000_0000_0111_1111 ? 512 kbytes 0000_0000_0000_1111_1111 ? 1 mbyte 0000_0000_0001_1111_1111 ? 2 mbytes 0000_0000_0011_1111_1111 ? 4 mbytes 0000_0000_0111_1111_1111 ? 8 mbytes 0000_0000_1111_1111_1111 ? 16 mbytes 0000_0001_1111_1111_1111 ? 32 mbytes 0000_0011_1111_1111_1111 ? 64 mbytes 0000_0111_1111_1111_1111 ? 128 mbytes 0000_1111_1111_1111_1111 ? 256 mbytes 0001_1111_1111_1111_1111 ? 512 mbytes 0011_1111_1111_1111_1111 ? 1 gbyte 0111_1111_1111_1111_1111 ? 2 gbytes 1111_1111_1111_1111_1111 ? 4 gbytes 20:31 pp 1 notes: 1. g and pp attributes perform similar protection activities on a region. the more protective attribute will be implied on the region if the attributes programming oppose each other. protection bits: 00: supervisor ? no access, user ? no access. 01: supervisor ? fetch, user ? no access. 1x: supervisor ? fetch, user ? fetch. 22:24 ? reserved 25 g guard attribute for region 0 = speculative fetch is not prohibited from region. region is not guarded. 1 = speculative fetch is prohibited from guarded region. an exception will occur under such at- tempt. 26:27 cmpr 2 2. this bit is available only on the mpc556. compressed region. x0 = the region in not restricted. 01 = region is considered a non-compressed code region. access to the region is allowed only in ?decompression off? mode. 11 = region is considered a compressed code region. access to the region is allowed only in ?decompression on? mode. 28:30 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-23 4.6.3 global region attribute register description (mi_gra) mi_gra ? global region attribute register spr 528 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 enr0 enr1 enr2 enr3 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved pp reserved g cmpr 1 notes: 1. available only on the mpc556. reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 4-7 mi_gra bit descriptions bit(s) name description 0 enr0 enable region 0 of impu 0 = region 0 is off 1 = region 0 is on 1 enr1 enable region 1 of impu 0 = region 1 is off 1 = region 1 is on 2 enr2 enable region 2 of impu 0 = region 2 is off 1 = region 2 is on 3 enr3 enable region 3 of impu 0 = region 3 is off 1 = region 3 is on 4:19 ? reserved 20:21 pp protection bits 00 = no supervisor access, no user access 01 = supervisor fetch access, no user access 10 = supervisor fetch access, user fetch access 11 = supervisor fetch access, user fetch access 22:24 ? reserved 25 g guarded attribute for region 0 = fetch is allowed from guarded region. 1 = fetch is prohibited from guarded region. an attempted fetch will generate an exception. 26:27 cmpr 1 notes: 1. available only on the mpc556. compressed region x0 = the region in not restricted 01 = region is considered a non-compressed code region. access to the region is allowed only in "decompression off" mode 11 = region is considered a compressed code region. access to the region is allowed only in "de-compression on" mode 28:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-24 4.6.4 bbc module configuration register (bbcmcr) bbcmcr ? bbc module configuration register spr 560 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved be etre oerc en_ comp 2 2. available only on the mpc556. exc_ comp 2 decomp _sc_en 2 reserved re- set: 0 0 0 id[19] 1 notes: 1. reset value is taken from the indicated bit of the reset configuration word. 0 0 0 0 0 0 0 0 0 0 0 0 table 4-8 bbcmcr bit descriptions bit(s) name description 16:17 ? reserved 18 be burst enable 0 = bbc does not request burst accesses 1 = bbc requests burst accesses 19 etre exception table relocation enable 0 = exception table relocation is off ? the bbc does not map exception addresses 1 = exception table relocation is on ? the bbc maps exception addresses to a branch instruction table. refer to 4.5 exception table relocation . 20 oerc other exceptions relocation control. 0 = all exceptions except reset are mapped to the internal memory base address. 1 = all exceptions except reset are mapped to the internal memory base address + 32 kbytes. 21 en_comp enable compression ? this bit enables the operation of the mpc556 in "compression on" mode. the default state is disabled. this bit is read only. 0 = "decompression on" mode is disabled. the mpc556 operates only in "decompression off" mode. 1 = "decompression on" mode is enabled. the mpc556 may operates with both "decompres- sion on" and "decompression off" modes. the bit value is determined by reset configuration word, bit #21. 22 exc_ comp exception compression ? this bit determines the operation of the mpc556 with exceptions. if this bit is set, the mpc556 assumes that the all exception routines code is compressed; other- wise it is assumed that all exception routines code is not compressed. the reset value is deter- mined by reset configuration word bit #22. 0 = the mpc556 assumes that exception routines are non-compressed 1 = the mpc556 assumes that all exception routines are compressed. this bit effects only when en_comp bit is set. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-25 note an isync instruction is required immediately following any write to the bbcmcr. 23 decomp_ sc_en decompression show cycle enable - this bit determines the way the mpc556 executes in- struction show-cycle. the reset value is determined by configuration word bit #21. for further de- tails regarding show cycles execution in "decompression on" mode see 4.3.9 decompression . 0 = decompression show cycle does not include the bit pointer. 1 = decompression show cycles includes the bit pointer information on the data bus. 24:31 ? reserved table 4-8 bbcmcr bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 burst buffer motorola user?s manual rev. 15 october 2000 4-26 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-1 section 5 unified system interface unit the unified system interface unit (usiu) of the MPC555 / mpc556 controls system start-up, system initialization and operation, system protection, and the external sys- tem bus. the MPC555 / mpc556 usiu functions include the following:  system configuration and protection  interrupt controller  system reset monitoring and generation  clock synthesizer  power management  external bus interface (ebi) control  memory controller  debug support 5.1 module overview the system configuration and protection function controls the overall system configu- ration and provides various monitors and timers, including the bus monitor, software watchdog timer, periodic interrupt timer, powerpc decrementer, time base, and real time clock. the interrupt controller and usiu are also included in the system configu- ration and protection function. refer to section 6 system configuration and protection for details. the reset controller receives input from a number of reset sources and takes appro- priate actions, depending on the source. the reset status register (rsr) reflects the most recent source to cause a reset. refer to section 7 reset for details. the clock synthesizer generates the clock signals used by the siu as well as the other modules and external devices. this circui try can generate the syst em clock from a 4- mhz or 20-mhz crystal. the siu supports various low-power modes. each supplies a different range of power consumption, functionality and wake-up time. clock generation and low-power modes are described in section 8 clocks and power control . the external bus interface (ebi) handles the transfer of information between the inter- nal busses and the memory or peripherals in the external address space. the MPC555 / mpc556 is designed to allow external bus masters to request and obtain mastership of the system bus, and if required access the on-chip memory and regis- ters. section 9 external bus interface describes the bus operation. the memory controller module provides a glueless interface to many types of memory devices and peripherals. it supports up to four memory banks, each with its own device f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-2 and timing attributes. see section 10 memo ry controller for more informa- tion. 5.2 siu architecture figure 5-1 is a block diagram of the MPC555 / mpc556 usiu. figure 5-1 MPC555 / mpc556 usiu block diagram 5.3 usiu address map table 5-1 is an address map of the siu registers. where not otherwise noted, regis- ters are 32 bits wide. the address shown for each register is relative to the base ad- dress of the MPC555 / mpc556 internal memory map. the internal memory block can reside in one of eight possible 4-mbyte memory spaces. see figure 1-3 in section 1 overview for details. e-bus . i/f u- -bus address data i/f sgpio memory control lines interface memory controller e-bus u-bus slave clocks & reset ? ? ? ? ? ? ? ? sw watch dog bus monitor periodic interrupt powerpc timer & decrementer real-time clock debug pin multiplexing interrupt controller f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-3 table 5-1 usiu address map address register 0x2f c000 siu module configuration register (siumcr) see table 6-5 for bit descriptions. 0x2f c004 system protection control register (sypcr) see table 6-13 for bit descriptions. 0x2f c008 reserved 0x2f c00e 1 software service register (swsr) see table 6-14 for bit descriptions. 0x2f c010 interrupt pending register (sipend) see 6.13.2.1 sipend register for bit descriptions. 0x2f c014 interrupt mask register (simask) see 6.13.2.2 siu interrupt mask register (simask) for bit descrip- tions. 0x2f c018 interrupt edge level mask (siel) see 6.13.2.3 siu interrupt edge level register (siel) for bit descrip- tions. 0x2f c01c interrupt vector (sivec) see 6.13.2.4 siu interrupt vector register for bit descriptions. 0x2f c020 transfer error status register(tesr) see table 6-15 for bit descriptions. 0x2f c024 usiu general-purpose i/o data register (sgpiodt1) see table 6-21 for bit descriptions. 0x2f c028 usiu general-purpose i/o data register 2 (sgpiodt2) see table 6-22 for bit descriptions. 0x2f c02c usiu general-purpose i/o control register (sgpiocr) see table 6-23 for bit descriptions. 0x2f c030 external master mode control register (emcr) see table 6-12 for bit descriptions. 0x2f c03c 1 pads module configuration register (pdmcr) see table 2-3 for bit descriptions. 0x2f c040 ? 0x2f c0fc reserved memory controller registers 0x2f c100 base register 0 (br0) see table 10-7 for bit descriptions. 0x2f c104 option register 0 (or0) see table 10-8 for bit descriptions. 0x2f c108 base register 1 (br1) see table 10-7 for bit descriptions. 0x2f c10c option register 1 (or1) see table 10-8 for bit descriptions. 0x2f c110 base register 2 (br2) see table 10-7 for bit descriptions. 0x2f c114 option register 2 (or2) see table 10-8 for bit descriptions. 0x2f c118 base register 3 (br3) see table 10-7 for bit descriptions. 0x2f c11c option register 3 (or3) see table 10-8 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-4 0x2f c120 ? 0x2f c13c reserved 0x2f c140 dual-mapping base register (dmbr) see table 10-9 for bit descriptions. 0x2f c144 dual-mapping option register (dmor) see table 10-10 for bit descriptions. 0x2f c148 ? 0x2f c174 reserved 0x2f c178 1 memory status (mstat) see table 10-6 for bit descriptions. 0x2f c17a ? 0x2f c1ffc reserved system integration timers 0x2f c200 time base status and control (tbscr) see table 6-16 for bit descriptions. 0x2f c204 time base reference 0 (tbref0) see 6.13.4.3 time base reference registers for bit descriptions. 0x2f c208 time base reference 1 (tbref1) see 6.13.4.3 time base reference registers for bit descriptions. 0x2f c20c ? 0x2f c21c reserved 0x2f c220 real-time clock status and control (rtcsc) see table 6-17 for bit descriptions. 0x2f c224 real-time clock (rtc) see 6.13.4.6 real-time clock register (rtc) for bit descriptions. 0x2f c228 real-time alarm seconds (rtsec) ? reserved 0x2f c22c real-time alarm (rtcal) see 6.13.4.7 real-time clock alarm register (rtcal) for bit de- scriptions. 0x2f c230 ? 0x2f c23c reserved 0x2f c240 pit status and control (piscr) see table 6-18 for bit descriptions. 0x2f c244 pit count (pitc) see table 6-19 for bit descriptions. 0x2f c248 pit register (pitr) see table 6-20 for bit descriptions. 0x2f c24c ? 0x2f c27c reserved clocks and reset 0x2f c280 system clock control register (sccr) see table 8-9 for bit descriptions. 0x2f c284 pll low-power and reset control register (plprcr) see table 8-10 for bit descriptions. 0x2f c288 1 reset status register (rsr) see table 7-3 for bit descriptions. 0x2f c28c 1 change of lock interrupt register (colir) see table 8-11 for bit descriptions. table 5-1 usiu address map (continued) address register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-5 5.4 usiu powerpc memory map table 5-2 lists the usiu powerpc special-purpose registers (spr). these registers can be accessed with the powerpc mtspr and mfspr instructions, or from an external master (refer to 6.2 external master modes for details). all registers are 32 bits wide. 0x2f c290 1 vddsrm control register (vsrmcr) see table 8-12 for bit descriptions. 0x2f c294 ? 0x2f c2fc reserved system integration timer keys 0x2f c300 time base status and control key (tbscrk) see table 8-8 for bit descriptions. 0x2f c304 time base reference 0 key (tbref0k) see table 8-8 for bit descriptions. 0x2f c308 time base reference 1 key (tbref1k) see table 8-8 for bit descriptions. 0x2f c30c time base and decrementer key (tbk) see table 8-8 for bit descriptions. 0x2f c310 ? 0x2f c31c reserved 0x2f c320 real-time clock status and control key (rtcsck) see table 8-8 for bit descriptions. 0x2f c324 real-time clock key (rtck) see table 8-8 for bit descriptions. 0x2f c328 real-time alarm seconds key (rtseck) see table 8-8 for bit descriptions. 0x2f c32c real-time alarm key (rtcalk) see table 8-8 for bit descriptions. 0x2f c330 ? 0x2f c33c reserved 0x2f c340 pit status and control key (piscrik) see table 8-8 for bit descriptions. 0x2f c344 pit count key (pitck) see table 8-8 for bit descriptions. 0x2f c348 ? 0x2f c37c reserved clocks and reset keys 0x2f c380 system clock control key (sccrk) see table 8-8 for bit descriptions. 0x2f c384 pll low-power and reset control register key (plprcrk) see table 8-8 for bit descriptions. 0x2f c388 reset status register key (rsrk) see table 8-8 for bit descriptions. 0x2f c38c ? 0x2f c3fc reserved notes: 1. 16-bit register. table 5-1 usiu address map (continued) address register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 unified system interface unit motorola user?s manual rev. 15 october 2000 5-6 table 5-3 shows the powerpc special address range. for an external master access- ing a powerpc spr, address bits [0:17] and [28:31] are compared to zeros to confirm that an spr access is valid. table 5-2 usiu special-purpose registers internal address[0:31] register decimal address spr[5:9]:spr[0:4] 1 notes: 1. bits [0:17] and [28:31] are all 0. 0x2c00 decrementer (dec) 22 0x1880 time base ? read (tb) 268 0x1a80 time base upper ? read (tbu) 269 0x3880 time base ? write (tb) 284 0x3a80 time base upper ? write (tbu) 285 0x3b30 internal memory mapping register (immr) 638 table 5-3 powerpc address range 0:17 18:27 28:31 0 . . . 0 spr[0:9] 0000 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-1 section 6 system configuration and protection the MPC555 / mpc556 incorporates many system functions that normally must be provided in external circuits. in addition , it is designed to provide maximum system safeguards again hardware and/or software faults. the system configuration and pro- tection sub-module provides the following features:  system configuration ? the usiu allows the user to configure the system ac- cording to the particular requirements. th e functions include control of show cycle operation, pin multiplexing, and internal memory map location. system configura- tion also includes a register containing part and mask number constants to iden- tify the part in software.  interrupt configuration ? the interrupt controller receives interrupt requests from a number of internal and external sources and directs them on a single in- terrupt-request line to the rcpu.  general-purpose i/o ? the usiu provides 64 pins for general-purpose i/o. the sgpio pins are multiplexed with the address and data pins.  external master modes support ? external master modes are special modes of operation that allow an alternate master on the external bus to access the in- ternal modules for debugging and backup purposes.  bus monitor ? the siu provides a bus monitor to watch internal to external ac- cesses. it monitors the transfer acknowledge (ta) response time for internal to external transfers. a transfer error acknowledge (tea ) is asserted if the ta re- sponse limit is exceeded. this function can be disabled.  software watchdog timer (swt) ? the swt asserts a reset or non-maskable interrupt (as selected by the system protecti on control register) if the software fails to service the swt for a designated period of time (e.g, because the software is trapped in a loop or lost). after a system reset, this function is enabled with a max- imum time-out period and asserts a system reset if the time-out is reached. the swt can be disabled or its time-out period can be changed in the sypcr. once the sypcr is written, it cannot be written again until a system reset.  periodic interrupt timer (pit) ? the siu provides a timer to generate periodic interrupts for use with a real-time operating system or the application software. the pit provides a period from 1 s to 4 seconds with a 4-mhz crystal or 200 ns to 0.8 ms with a 20-mhz crystal. the pit function can be disabled.  power-pc time base counter (tb) ? the tb is a 64-bit counter defined by the MPC555 / mpc556 architecture to provide a time base reference for the operat- ing system or application software. the tb has four independent reference reg- isters which can generate a maskable interrupt when the time-base counter reaches the value programmed in one of the four reference registers. the asso- ciated bit in the tb status register will be set for the reference register which gen- erated the interrupt.  power-pc decrementer (dec) ? the dec is a 32-bit decrementing counter de- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-2 fined by the MPC555 / mpc556 architecture to provide a decrementer interrupt. this binary counter is clocked by the same frequency as the time base (also de- fined by the MPC555 / mpc556 architecture). the period for the dec when driv- en by a 4-mhz oscillator is 4295 seconds, which is approximately 71.6 minutes.  real-time clock (rtc) ? the rtc is used to provide time-of-day information to the operating system or application software. it is composed of a 45-bit counter and an alarm register. a maskable interrupt is generated when the counter reach- es the value programmed in the alarm register. the rtc is clocked by the same clock as the pit.  freeze support ? the siu allows control of whether the swt, pit, tb, dec and rtc should continue to run during the freeze mode. figure 6-1 shows a block diagram of the system configuration and protection logic. figure 6-1 system confi guration and protection logic interrupt controller bus monitor periodic interrupt timer software watchdog timer MPC555 decrementer MPC555 time base counter real-time clock clock tea signal interrupt interrupt or system reset interrupt interrupt module configuration decrementer exception f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-3 6.1 system configuration the siu allows the user to configure the system according to the particular require- ments. the functions include control of show cycle operation, pin multiplexing, and in- ternal memory map location. system configuration also includes a register containing part and mask number constants to identify the part in software. system configuration registers include th e system configuration register (siumcr), the internal memory mapping register (immr). refer to 6.13 system configuration and protection registers for register diagrams and bit descriptions. 6.1.1 usiu pins multiplexing some of the functions defined in the various sections of the siu (external bus inter- face, memory controller, and g eneral-purpose i/o) share pins. table 6-1 summarizes how the pin functions of these multiplexed pins are assigned. . 6.1.2 memory mapping the MPC555 / mpc556 internal memory space can be assigned to one of eight loca- tions. the internal memory map is organized as a single 4-mbyte block. the user can assign this block to one of eight locations by programming the isb field in the internal memory table 6-1 usiu pins multiplexing control pin name multiplexing controlled by: irq0 /sgpioc0 irq1 /rsv /sgpioc1 irq2 /cr /sgpioc2/mts irq3 /kr /retry /sgpioc3 irq4 /at2/sgpioc4 irq5 /sgpioc5/modck1 irq6 /modck2 irq 7/modck3 at power-on reset: modck[1:3] otherwise: programmed in siumcr (see 6.13.1.1 siu module configuration register .) sgpioc6/frz/ptr sgpioc7/irq_out /lwp0 b g/vf0/lwp1 b r/vf1/iwp2 bb /vf2/iwp3 iwp[0:1]/vfls[0:1] b i/sts we (0:3)/be (0:3)/at (0:3) tdi/dsdi tck/dsck tdo/dsdo programmed in the siumcr and via the hard reset configuration. (see 6.13.1.1 siu module configuration register and 7.5.2 hard reset configuration word .) data[0:31]/sgpiod[0:31] addr[8:31]/sgpioa[8:31] programmed in siumcr and hard reset configuration. (see 6.13.1.1 siu module configuration register and 7.5.2 hard reset configuration word .) rstconf/texp at power-on reset: rstconf otherwise: programmed in siumcr. (see 6.13.1.1 siu module configuration register .) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-4 mapping register (immr). the eight possible locations are the first eight 4-mbyte memory blocks starting with address 0x0000 0000. (refer to figure 6-2 .) figure 6-2 MPC555 / mpc556 memory map 6.1.3 arbitration support two bits in the siumcr control usiu bus arbitration. the external arbitration (earb) bit determines whether arbitration is performed internally or externally. if earb is cleared (internal arbitration), the external arbitration request priority (earp) bit deter- mines the priority of an external master?s arbitration request. the operation of the in- ternal arbiter is described in 9.5.6.4 internal bus arbiter . 0x0000 0000 0x003f ffff 0x0040 0000 0x007f ffff 0x0080 0000 0x00bf ffff 0x00c0 0000 0x00ff ffff 0x0100 0000 0x013f ffff 0x0140 0000 0x017f ffff 0x0180 0000 0x01bf ffff 0x01c0 0000 0x01ff ffff 0xffff ffff internal 4-mbyte memory block (resides in one of eight locations) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-5 6.2 external master modes external master modes are special modes of operation that allow an alternate master on the external bus to access the internal modules for debugging and backup purpos- es. they provide access to the internal buses (u-bus and l-bus) and to the intermod- ule bus (imb3). there are two external master modes. peripheral mode (enabled by setting prpm in the emcr) is a special slave mechanism in which the rcpu is shut down and an al- ternate master on the external bus can pe rform accesses to any internal bus slave. slave mode (enabled by setting slvm and clearing prpm in the emcr) enables an external master to access any internal bus slave while the rcpu is fully operational. both modes can be enabled and disabled by software. in addition, peripheral mode can be selected from reset. the internal bus is not capable of providing fair priority between internal rcpu ac- cesses and external master accesses. if the bandwidth of external master accesses is large, it is recommended that the system forces gaps between external master ac- cesses in order to avoid suspension of internal rcpu activity. the MPC555 / mpc556 does not support burst accesses from an external master; only single accesses of 8, 16, or 32 bits can be performed. the MPC555 / mpc556 asserts burst inhibit (bi ) on any attempt to initiate a burst access to internal memory. the MPC555 / mpc556 provides memory cont roller services for external master ac- cesses (single and burst) to external memories. see section 10 memory con- troller for details. 6.2.1 operation of external master modes the external master modes are controlled by the emcr register, which contains the internal bus attributes. the default attributes in the emcr enable the external master to configure emcr with the required attributes, and then access the internal registers. the external master must be granted external bus ownership in order to initiate the ex- ternal master access. the siu compares the address on the external bus to the allo- cated internal address space. if the address is within the internal space, the access is performed with the internal bus. the internal address space is determined according to isb (see 6.13.1.2 internal memory map register for details). the external master access is terminated by the ta , tea or retry signal on the external bus. a deadlock situation might occur if an internal-to-external access is attempted on the internal bus while an external master access is initiated on the external bus. in this case, the siu will assert the retry on the external bus in order to relinquish and retry the external access until the internal acce ss is completed. the internal bus will deny other internal accesses for the next eight clocks in order to complete the pending ac- cesses and prevent additional internal accesses from being initiated on the internal bus. the siu will also mask internal accesse s to support consecutive external access- es if the delay between the external accesse s is less than 4 clocks. the external mas- ter access and retry timings are described in 9.5.11 bus operation in external master modes . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-6 the external master may acce ss the internal MPC555 / mpc556 special registers that are located outside the rcpu. in order to access one of these MPC555 / mpc556 reg- isters, program the emcr to MPC555 / mpc556 special register access (cont = 1 and supu = 0 in emcr). next, access the re gister by providing the address according to the MPC555 / mpc556 address map. only the first external master access that fol- lows emcr setting will be assigned to the sp ecial register map; the next accesses will be directed to the normal address map. this is done in order to enable the user to ac- cess the emcr again after the required MPC555 / mpc556 special register access. peripheral mode does not require external bus arbitration between the external master and the internal rcpu, since the internal rcpu is disabled. the br and bb signals should be connected to ground, and the internal bus arbitration should be selected in order to prevent the ?slave? MPC555 / mpc556 from occupying the external bus. in- ternal bus arbitration is selected by clearing the earb bit in the siumcr (see 6.13.1.1 siu module configuration register ). 6.2.2 address decoding for external accesses during an external master access, the usiu compares the external address with the internal address block to determine if MPC555 / mpc556 operation is required. since only 24 of the 32 internal address bits are available on the external bus, the usiu as- signs zeros to the most significant address bits (addr[0:7]). the address compare sequence can be summarized as follows:  normal external access. if the cont bit in emcr is cleared, the address is com- pared to the internal address map.  MPC555 / mpc556 special register exter nal access. if the cont bit in emcr is set by the previous external master access, the address is compared to the MPC555 / mpc556 special address range. see 5.4 usiu powerpc memory map for a list of the sprs in the usiu.  memory controller external access. if the first two comparisons do not match, the internal memory controller determines whether the address matches an address assigned to one of the regions. if it finds a match, the memory controller gener- ates the appropriate chip select and attribute accordingly when trying to fetch an MPC555 / mpc556 special register from an external master, the address might be aliased to one of the external devices on the external bus. if this device is selected by the MPC555 / mpc556 internal memory controller, this aliasing does not occur since the chip select is disabled. if the device has its own address de- coding or is being selected by external logic, this case should be resolved. 6.3 usiu general-purpose i/o the usiu provides 64 general-purpose i/o (sgpio) pins. the sgpio pins are multi- plexed with the address and data pins. in single-chip mode, where communicating with external devices is not required, the user can use all 64 sgpio pins. in multiple-chip mode, only eight sgpio pins are available. another configuration allows the use of the address bus for instruction show cycles while the data bus is dedicated to sgpio func- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-7 tionality. the functionality of these pins is assigned by the single-chip (sc) bit in the siumcr. (see 6.13.1.1 siu module c onfiguration register .) sgpio pins are grouped as follows:  six groups of eight pins each, whose direction is set uniformly for the whole group  16 single pins whose direction is set separately for each pin table 6-2 describes the sgpio signals, and all available configurations. the sgpio registers are described in 6.13.5 general-purpose i/o registers . figure 6-3 illustrates the functionality of the sgpio. table 6-2 sgpio configuration sgpio group name individual pin control direction control available when sc = 00 (32-bit port size mode) available when sc = 01 (16-bit port size mode) available when sc = 10 (single-chip mode with trace) available when sc = 11 (single-chip mode) sgpiod[0:7] gddr0 x x sgpiod[8:15] gddr1 x x sgpiod[16:23] gddr2 x x x sgpiod[24:31] x sddrd[23:31] x x x sgpioc[0:7] 1 notes: 1. sgpioc[0:7] is selected according to gpc and mlrc fields in siumcr. see 6.13.1.1 siu module configu- ration register . x sddrc[0:7] sgpioa[8:15] gddr3 x sgpioa[16:23] gddr4 x sgpioa[24:31] gddr5 x f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-8 figure 6-3 sgpio cell 6.4 interrupt controller the usiu receives interrupts from internal sources (such as the pit and rtc), from the imb3 module (which has its own interrupt controller), and from external pins irq [0:7]. an overview of the MPC555 / mpc556 interrupt structure is shown in figure 6-4 . read oe internal write clk bus sgpio pad f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-9 figure 6-4 MPC555 / mpc556 interrupt structure if programmed to generate interrupts, the swt and external pin irq [0] always gener- ate a non-maskable interrupt (nmi) to the rcpu. notice that the rcpu takes the sys- tem reset interrupt when an nmi is asserted and the external interrupt for any other interrupt asserted by the interrupt controller. each one of the external pins irq [1:7] has its own dedicated assigned priority level. irq [0] is also mapped but should be used only as a status bit indicating that irq [0] was asserted and generated an nmi interrupt. there are eight additional interrupt pri- level 2 level 7 level 6 level 5 level 4 level 3 level 1 level 0 nmi ireq nmi generate rcpu siu tb pit rtc change of lock swt irq0 interrupt controller dec dec debug debug irqout irq [0:7] selector edge detect imb3 interrupt levels uimb 8 8 8 8 8 i0 i1 i2 i3 i4 i5 i6 i7 irq [0:6] level 0:6 irq [7:31] level 7 imb3 interrupts: 32 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-10 ority levels. each one of the siu internal interrupt sources, as well as the interrupt re- quests generated by the imb3 modules, can be assigned by the software to any one of those eight interrupt priority levels. the same interrupt request signal that is generated within the rcpu is optionally driv- en on the irq_out pin. this pin may be used in peripheral mode, in which the internal processor is shut off and the internal modules are accessed externally. the imb3 interrupts are controlled by the uimb. the imb3 provides 32 interrupt levels. any interrupt source can be configured to an y imb3 interrupt level. the 32-bit uipend register in the uimb holds the pending imb3 interrupt requests. imb3 interrupt request levels zero to six are mapped to usiu interrupt levels zero to six, respectively. imb3 interrupt request levels seven to 31 are mapped to usiu request level seven. the user must read the uipend register to determine the actual source of the interrupt. refer to 12.4 interrupt operation for more information. note if the same interrupt level is assigned to more than one source, soft- ware must read the appropriate status bits in the appropriate uimb3 registers to determine which interrupt was asserted. figure 6-5 illustrates the operation of the interrupt controller. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-11 . figure 6-5 MPC555 / mpc556 interrupt configuration 6.4.1 siu interrupt sources priority the siu has 15 interrupt sources that assert just one interrupt request to the rcpu. there are eight external irq pins (irq [0] should be masked since it generates a nmi) and eight interrupt levels. the priority between all interrupt sources is shown in table 6-3 . sipend simask interrupt request s i v e c priority interrupt detector 8 irq [0] interrupt vector nmi to rcpu (to rcpu and pads) interrupt level (0-7) irq [0:7] latch (1 from 16) highest (enables branch to the highest priority interrupt routine) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-12 6.5 hardware bus monitor the bus monitor ensures that each bus cycle is terminated within a reasonable period of time. the usiu provides a bus monitor option to monitor internal to external bus ac- cesses on the external bus. the monitor coun ts from transfer start to transfer acknowl- edge and from transfer acknowledge to transfer acknowledge within bursts. if the monitor times out, transfer error acknowledge (tea ) is asserted internally. the bus monitor timing bit in the system pr otection control register (sypcr) defines the bus monitor time-out period. the programma bility of the time-out allows for varia- tion in system peripheral response time. the timing mechanism is clocked by the sys- tem clock divided by eight. the maximum value is 2040 system clock cycles. the bus monitor enable (bme) bit in the sypcr enables or disables the bus monitor. the bus monitor is always enabled, however, when freeze is asserted or when a de- bug mode request is pending, regardless of the state of this bit. 6.6 MPC555 / mpc556 decrementer the decrementer (dec) is a 32-bit decrementing counter defined by the MPC555 / mpc556 architecture to provide a decrementer interrupt. this binary counter is clocked by the same frequency as the time base (also defined by the MPC555 / mpc556 architecture). the operation of the time base and decrementer are therefore coherent. in the MPC555 / mpc556, the dec is clocked by the tmbclk clock. the decrementer period is computed as follows: table 6-3 priority of interrupt sources priority interrupt source interrupt code 0 (highest) irq0 00000000 1 level 0 00000100 2 irq1 00001000 3 level 1 00001100 4 irq2 00010000 5 level 2 00010100 6 irq3 00011000 7 level 3 00011100 8 irq4 00100000 9 level 4 00100100 10 irq5 00101000 11 level 5 00101100 12 irq6 00110000 13 level 6 00110100 14 irq7 00111000 15 (lowest) level 7 00111100 16-31 reserved ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-13 the state of the dec is not affected by any resets and should be initialized by soft- ware. the dec runs continuously after power-up once the time base is enabled by set- ting the tbe bit of the tbscr (see table 6-16 ) (unless the clock module is programmed to turn off the clock). the decrementer continues counting while reset is asserted. loading from the decrementer has no effect on the counter value. storing to the dec- rementer replaces the value in the decrementer with the value in the gpr. whenever bit zero (the msb) of the decrementer changes from zero to one, a decre- menter exception occurs. if software alters the decrementer such that the content of bit 0 is changed to a value of 1, a decrementer exception occurs. a decrementer exception causes a decrementer interrupt request to be pending in the rcpu. when the decrementer exception is taken, the decrementer interrupt request is automatically cleared. table 6-4 illustrates some of the periods available for the decrementer, assuming a 4- mhz or 20-mhz crystal, and tbs = 0 which selects tbclk division to four. note time base must be enabled to use the decrementer. see 6.13.4.4 time base control and status register for more information. refer to 3.9.5 decrementer register (dec) for more information. 6.7 MPC555 / mpc556 time base (tb) the time base (tb) is a 64-bit free-running binary counter defined by the MPC555 / mpc556 architecture. the tb has two independent reference registers which can table 6-4 decremente r time-out periods count value time-out @ 4 mhz time-out @ 20 mhz 0 1.0 s 0.2 s 9 10 s 2.0 s 99 100 s 20 s 999 1.0 ms 200 s 9999 10.0 ms 2 ms 999999 1.0 s 200 ms 9999999 10.0 s 2.0 s 99999999 100.0 s 20 s 999999999 1000. s 200 s (hex) ffffffff 4295 s 859 s t dec 2 32 f tmbclk ----------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-14 generate a maskable interrupt when the time base counter reaches the value pro- grammed in one of the two reference registers. the period of the tb depends on the driving frequency. in the MPC555 / mpc556, the tb is clocked by the tmbclk clock. the period for the tb is: the state of the time base is not affected by any resets and should be initialized by software. reads and writes of the tb are restricted to special instructions. separate special-purpose registers are defined in the MPC555 / mpc556 architecture for read- ing and writing the time base. for the MPC555 / mpc556 implementation, it is not pos- sible to read or write the entire tb in a single instruction. therefore, the mttb and mftb instructions are used to move the lower half of the time base (tbl) while the mttbu and mftbu instructions are used to move the upper half (tbu). two reference registers are associated with the time base: tbref0 and tbref1. a maskable interrupt is generated when the tb count reaches to the value programmed in one of the two reference registers. two status bits in the time base control and sta- tus register (tbscr) indicate which one of the two reference registers generated the interrupt. refer to 6.13.4 system timer registers for diagrams and bit descriptions of time base registers. refer to 3.9.4 time base facility (tb) ? oea and to rcpu refer- ence manual (rcpurm/ad) for additional information regarding the MPC555 / mpc556 time base. 6.8 real-time clock (rtc) the rtc is a 32-bit counter and pre-divider used to provide a time-of-day indication to the operating system and application software. it is clocked by the pitrtclk clock.the counter is not affected by reset and operates in all low-power modes. it is initialized by software. the rtc can be programmed to generate a maskable interrupt when the time value matches the value programmed in its associated alarm register. it can also be programmed to generate an interrupt once a second. a control and status register is used to enable or disable the different functions and to report the interrupt source. t tb 2 64 f tmbclk ---------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-15 figure 6-6 rtc block diagram 6.9 periodic interrupt timer (pit) the periodic interrupt timer consists of a 16-bit counter clocked by the pitrclk clock supplied by the clock module. the 16-bit counter counts down to zero when loaded with a value from the pitc. after the timer reaches zero, the ps bit is set and an interrupt is generated if the pie bit is is a logic one. the software service routine should read the ps bit and then write it to zero to terminate the interrupt request. at the next input clock edge, the value in the pitc is loaded into the counter, and the process starts over again. when a new value is loaded into the pitc, the periodic timer is updated, the divider is reset, and the counter begins counting. if the ps bit is not cleared, an interrupt request is generated. the request remains pending until ps is cleared. if the ps bit is set again prior to being cleared, the interrupt remains pending until ps is cleared. any write to the pitc stops the current countdown, and the count resumes with the new value in pitc. if the pte bit is not set, the pit is unable to count and retains the old count value. reads of the pit have no effect on the counter value. pitrtclk freeze divide 32-bit counter (rtc) 32-bit register (rtcal) sec alarm = clock disable divide mux 4-mhz / 20-mhz crystal interrupt interrupt by 78125 by 15625 clock rtsec f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-16 figure 6-7 pit block diagram the timeout period is calculated as: solving this equation using a 4-mhz external clock and a pre-divider of 256 gives: this gives a range from 64 microseconds, with a pitc of 0x0000, to 4.19 seconds, with a pitc of 0xffff. when a 20-mhz crystal is used with a pre-di vider of 256, the range is between 12.8 microseconds to 0.84 seconds. 6.10 software wa tchdog timer (swt) the software watchdog timer (swt) prevents system lockout in case the software be- comes trapped in loops with no controlled exit. the swt is enabled after system reset to cause a system reset if it times out. it. the swt requires a special service sequence to be executed on a periodic basis. if this periodic servicing action does not occur, the swt times out and issues a reset or a non-maskable interrupt (nmi), depending on the value of the swri bit in the sypcr. the swt can be disabled by clearing the swe bit in the sypcr. once the sypcr is written by software, the state of the swe bit cannot be changed. the swt service sequence consists of the following two steps: 1. write 0x556c to the software service register (swsr) 2. write 0xaa39 to the swsr the service sequence clears the watchdog timer and the timing process begins again. if any value other than 0x556c or 0xaa39 is written to the swsr, the entire sequence must start over. clock 16-bit pitc pitrtclk ps (piscr) pie (piscr) pit pte disable clock modulus counter interrupt pitf (piscr) (piscr) (piscr) pit period pitc 1 + f pitrtclk ------------------------ pitc 1 + externalclock 4o r 256 ----------------------------- ?? ?? ---------------------------------------------- - = = pitperiod pitc 1 + 15625 ------------------------ = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-17 although the writes must occur in the correct order prior to time-out, any number of instructions may be executed between the writes. this allows interrupts and excep- tions to occur, if necessary, between the two writes. figure 6-8 swt interr upts and exceptions although most software disciplines suppor t the watchdog concept, different systems require different time-out periods. for this reason, the software watchdog provides a selectable range for the time-out period. in figure 6-9 , the range is determined by the value swtc field. the value held in the swtc field is then loaded into a 16-bit decrementer clocked by the system clock. an additional divide by 2048 prescaler is used if necessary. the decrementer begins counting when loaded with a value from the software watchdog timing count field (swtc). after the timer reaches 0x0, a software watchdog expiration request is issued to the reset or nmi control logic. upon reset, the value in the swtc is set to the maximum value and is again loaded into the software watchdog register (swr), starting the process over. when a new val- ue is loaded into the swtc, the software watchdog timer is not updated until the ser- vicing sequence is written to the swsr. if the swe is loaded with the value zero, the modulus counter does not count. 0x556c / don?t reload reset 0xaa39 / reload state 0 waiting for 0x556c state 1 waiting for 0xaa39 not 0xaa39 / don?t reload not 0x556c / don?t reload not 0x556c / don?t reload f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-18 figure 6-9 swt block diagram 6.11 freeze operation when the freeze line is asserted, the clocks to the software watchdog, the periodic interrupt timer, the real-time clock, the time base counter, and the decrementer can be disabled. this is controlled by the associated bits in the control register of each timer. if programmed to stop during freeze assertion, the counters maintain their values while freeze is asserted, unless changed by the software. the bus monitor, howev- er, remains enabled regardless of this signal. 6.12 low power stop operation when the processor is set in a low-power mode (doze, sleep, or deep sleep), the soft- ware watchdog timer is frozen. it remains frozen and maintain its count value until the processor exits this state and resumes executing instructions. the periodic interrupt timer, decrementer, and time base are not affected by these low- power modes. they continue to run at their respective frequencies. these timers are capable of generating an interrupt to bring the mcu out of these low-power modes. 6.13 system configuratio n and protection registers this section provides diagrams and bit descriptions of the system configuration and protection registers. disable clock freeze swr / decrementer time-out 16-bit swtc swe service logic reload rollover = 0 reset swsr mux 2048 system swp clock divide by or nmi (sypcr) (sypcr) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-19 6.13.1 system conf iguration registers system configuration registers include the siumcr, the emcr, and the immr. 6.13.1.1 siu module c onfiguration register the siu module configuration register (siumcr) configures various aspects of siu operation. * the reset value is a reset configuration word value, extracted from the indicated internal data bus lines. warning software must not change any siumcr fields controlled by the reset configuration word while the functions that these fields control are ac- tive. siumcr ? siu module configuration register 0x2f c000 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 earb earp reserved dshw dbgc dbpc atwc gpc dlk reset: id0* 0 0 0 0 0 0 0 0 id[9:10]* id11* id12* 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 re- serve d sc rctx mlrc reserved mtsc reserved reset: 0 id[17:18]* 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-20 table 6-5 siumcr bit descriptions bit(s) name description 0 earb external arbitration 0 = internal arbitration is performed 1 = external arbitration is assumed 1:3 earp external arbitration request priority. this field defines the priority of an external master?s arbitra- tion request. this field is valid when earb is cleared. refer to 9.5.6.4 internal bus arbiter for details. 4:7 ? reserved 8dshw data show cycles. this bit selects the show cycle mode to be applied to u-bus data cycles (data cycles to imb modules and flash eeprom). this field is locked by the dlk bit. note that instruc- tion show cycles are programmed in the ictrl and l-bus data show cycles (to sram) are pro- grammed in the l2umcr. 0 = disable show cycles for all internal data cycles 1 = show address and data of all internal data cycles 9:10 dbgc debug pins configuration. refer to table 6-6 . 11 dbpc debug port pins configuration. refer to table 6-7 . 12 atwc address write type enable configuration. this bit configures the pins to function as byte write en- ables or address types for debugging purposes. 0 = we [0:3]/be [0:3]/at[0:3] functions as we [0:3]/be [0:3] 1 1 = we [0:3]/be [0:3]/at[0:3] functions as at[0:3] notes: 1. we /be is selected per memory region by webs in the approprite br register in the memory controller. 13:14 gpc this bit configures the pins as shown in table 6-8 . 15 dlk debug register lock 0 = normal operation 1 = siumcr is locked and can be written only in test mode or when the internal freeze signal is asserted. 16 ? reserved 17:18 sc single-chip select. this field configures the functionality of the address and data buses. chang- ing the sc field while external accesses are performed is not supported. refer to table 6-9 . 19 rctx reset configuration/timer expired. during reset the rstconf /texp pin functions as rstconf . after reset the pin can be configured to function as texp, the timer expired signal that supports the low-power modes. 0 = rstconf /texp functions as rstconf 1 = rstconf /texp functions as texp 20:21 mlrc multi-level reservation control. this field selects between the functionality of the reservation logic and irq pins, refer to table 6-10 . 22:23 ? reserved 24 mtsc memory transfer start control. 0 = irq [2]/cr/ sgpioc[2]/mts functions according to the mlrc bits setting 1 = irq [2]/cr/ sgpioc[2]/mts functions as mts 25:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-21 table 6-6 debug pins configuration dbgc pin function iwp[0:1]/vfls[0:1] bi /sts bg /vf0/lwp1 br /vf1/iwp2 bb /vf2/iwp3 00 vfls[0:1] bi bg br bb 01 iwp[0:1] sts bg br bb 10 vfls[0:1] sts vf0 vf1 vf2 11 iwp[0:1] sts lwp1 iwp2 iwp3 table 6-7 debug port pins configuration dbpc pin function tck/dsck tdi/dsdi tdo/dsdo 0 dsck dsdi dsdo 1 tck tdi tdo table 6-8 general pins configuration gpc pin function frz/ptr/sgpioc6 irqout /lwp0/sgpioc7 00 ptr lwp0 01 sgpioc6 sgpioc7 10 frz lwp0 11 frz irqout table 6-9 single-chip select field pin configuration sc pin function data[0:15]/ sgpiod[0:15] data[16:31] sgpiod[16:31] addr[8:31]/ sgpioa[8:31] 00 (multiple chip, 32-bit port size) data[0:15] data[16:31] addr[8:31] 01 (multiple chip, 16-bit port size data[0:15] spgiod[16:31] addr[8:31] 10 (single-chip with address show cycles for debugging) spgiod[0:15] spgiod[16:31] addr[8:31] 11 (single-chip) spgiod[0: 15] spgiod[16:31] spgioa[8:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-22 6.13.1.2 internal memory map register the internal memory map register (immr) is a special register located within the MPC555 / mpc556 special register space. the immr contains identification of a spe- cific device as well as the base for the internal memory map. based on the value read from this register, software can deduce availab ility and location of any on-chip system resources. this register can be read by the mfspr instruction. the isb field can be written by the mtspr instruction. the partnum and masknum fields are mask programmed and cannot be changed. * the reset value is a reset configuration word value extracted from the indicated bits of the internal data bus. refer to 7.5.2 hard reset configuration word . table 6-10 multi-level reservat ion control pin configuration mlrc pin function irq [0]/ sgpioc[0] irq [1]/rsv / sgpioc[1] irq [2]/cr/ sgpioc[2]/ mts irq [3]/kr / retry / sgpioc[3] irq [4]/at[2]/ sgpioc[4] irq [5]/sgpi- oc[5]/ modck[1] 1 notes: 1. operates as modck[1] during reset. 00 irq [0] irq [1] irq [2] 2 2. this holds if mtsc bit is reset to 0. otherwise irq [2]/cr/ sgpioc[2]/mts will function as mts . irq [3] irq [4] irq [5] / modck[1] 01 irq [0] rsv cr 2 kr /retry at[2] irq [5] / modck[1] 10 sgpioc[0] sgpioc[1] sgpioc[2] 2 sgpioc[3] sgpioc[4] sgpioc[5]/ modck[1] 11 irq [0] irq [1] sgpioc[2] 2 kr /retry at[2] sgpioc[5]/ modck[1] immr ? internal memory mapping register spr 638 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 partnum masknum reset: read-only fixed value read-only fixed value 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved flen reserved cles reserved isb 0 reset: 0 0 0 0 id20* 0 0 id23* 0 0 0 0 id[28:30]* 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-23 6.13.1.3 external master control register (emcr) the external master control register selects the external master modes and deter- mines the internal bus attributes for external-to-internal accesses. table 6-11 immr bit descriptions bit(s) name description 0:7 partnum this read-only field is mask programmed with a code corresponding to the part number of the part on which the siu is located. it is intended to help factory test and user code which is sensitive to part changes. this changes when the part number changes. for example, it would change if any new module is added, if the size of any memory module is changed. it would not change if the part is changed to fix a bug in an existing module. the MPC555 / mpc556 chip has an id of 0x30. 8:15 masknum this read-only field is mask programmed with a code corresponding to the mask number of the part. it is intended to help factory test and user code which is sensitive to part changes. 16:19 ? reserved 20 flen flash enable is a read-write bit. the default state of flen is negated, meaning that the boot is performed from external memory. this bit can be set at reset by the reset configuration word. 0 = on-chip flash memory is disabled, and all internal cycles to the allocated flash address space are mapped to external memory 1 = on-chip flash memory is enabled 21:22 ? reserved 23 cles core little-endian swap 0 = little-endian swap logic in the ebi is not activated for rcpu accesses after reset 1 = little-endian swap logic in the ebi is activated for rcpu accesses after reset 24:27 ? reserved 28:30 isb this read-write field defines the base address of the internal memory space. the initial value of this field can be configured at reset to one of eight addresses, and then can be changed to any value by software. internal base addresses are as follows: 000 = 0x0000 0000 001 = 0x0040 0000 010 = 0x0080 0000 011 = 0x00c0 0000 100 = 0x0100 0000 101 = 0x0140 0000 110 = 0x0180 0000 111 = 0x01c0 0000 31 ? reserved emcr ? external master control register 0x2f c030 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-24 * the reset value is a reset configuration word value, extracted from the indicated internal data bus line. refer to 7.5.2 hard reset configuration word . 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 prpm slvm 0 size supu inst reserved resv cont 0 trac sizen reserved reset: id16* 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 table 6-12 emcr bit descriptions bit(s) name description 0:15 ? reserved 16 prpm peripheral mode. in this mode, the internal rcpu core is shut off and an alternative master on the external bus can access any internal slave module. the reset value of this bit is determined by the reset configuration word bit 16. the bit can also be written by software. 0 = normal operation 1 = peripheral mode operation 17 slvm slave mode (valid only if prpm = 0). in this mode, an alternative master on the external bus can access any internal slave module while the internal rcpu core is fully operational. if prpm is set, the value of slvn is a ?don?t care.? 0 = normal operation 1 = slave mode 18 ? reserved 19:20 size size attribute. if sizen = 1, the size bits controls the internal bus attributes as follows: 00 = double word (8 bytes) 01 = word (4 bytes) 10 = half word (2 bytes) 11 = byte 21 supu supervisor/user attribute. supu controls the supervisor/user attribute as follows: 0 = supervisor mode access permitted to all registers 1 = user access permitted to registers designated ?user access? 22 inst instruction attribute. inst controls the internal bus instruction attribute as follows: 0 = instruction fetch 1 = operand or non-cpu access 23:24 ? reserved 25 resv reservation attribute. resv controls the internal bus reservation attribute as follows: 0 = storage reservation cycle 1 = not a reservation 26 cont control attribute. cont drives the internal bus control bit attribute as follows: 0 = access to MPC555 / mpc556 control register, or control cycle access 1 = access to global address map 27 ? reserved 28 trac trace attribute. trac controls the internal bus program trace attribute as follows: 0 = program trace 1 = not program trace 29 sizen external size enable control bit. sizen determines how the internal bus size attribute is driven: 0 = drive size from external bus signals tsize[0:1] 1 = drive size from size0, size1 in emcr 30:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-25 6.13.2 siu interrupt registers the siu interrupt controller contains the sipend, simask, siel, and sivec regis- ters. 6.13.2.1 sipend register each of the 32 bits in the sipend register corresponds to an interrupt request. the bits associated with internal exceptions indicate, if set, that an interrupt service is re- quested (if not masked by the corresponding bi t in the simask register). each bit re- flects the status of the internal requestor device and is cleared when the appropriate actions are initiated by the software in the device itself. writing to these bits while they are not set has no effect. the bits associated with the irq pins have a different behavior depending on the sen- sitivity defined for them in the siel register. when the irq is defined as a ?level? in- terrupt the corresponding bit behaves similar to the bits associated with internal interrupt sources. when the irq is defined as an ?edge? interrupt and if the corre- sponding bit is set, it indicates that a falling edge was detected on the line and the bit can be reset by software by writing a 1 to it. 6.13.2.2 siu interrupt mask register (simask) the simask is a 32-bit read/write register. each bit corresponds to an interrupt re- quest bit in the sipend register. setting a bit in this register allows the interrupt re- quest to reach the rcpu. simask is updated by the software and cleared upon reset. it is the responsibility of the software to determine which of the interrupt sources are enabled at a given time. sipend ? siu interrupt pending register 0x2f c010 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 irq0 lvl0 irq1 lvl1 irq2 lvl2 irq3 lvl3 irq4 lvl4 irq5 lvl5 irq6 lvl6 irq7 lvl7 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 simask ? siu interrupt mask register 0x2f c014 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 irm0* lvm0 irm1 lvm1 irm2 lvm2 irm3 lvm3 irm4 lvm4 irm5 lvm5 irm6 lvm6 irm7 lvm7 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-26 *irq0 of the sipend register is not affected by the setting or clearing of the irm0 bit of the simask register. irq0 is a non-maskable interrupt. 6.13.2.3 siu interrupt edge level register (siel) the siel is a 32-bit read/write register. each pair of bits corresponds to an external interrupt request. the edx bit, if set, spec ifies that a falling edge in the corresponding irq line will be detected as an interrupt request. when the edx bit is 0, a low logical level in the irq line will be detected as an interrupt request. the wmx (wake-up mask) bit, if set, indicates that an interrupt request detection in the corresponding line causes the MPC555 / mpc556 to exit low-power mode. 6.13.2.4 siu interrupt vector register the sivec is a 32-bit read-only register that contains an 8-bit code representing the unmasked interrupt source of the highest priority level. the sivec can be read as ei- ther a byte, half word, or word. when read as a byte, a branch table can be used in which each entry contains one instruction (branch). when read as a half-word, each entry can contain a full routine of up to 256 instructions. the interrupt code is defined such that its two least significant bits are 0, thus allowing indexing into the table. 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 siel ? siu interrupt edge level register 0x2f c018 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ed0 wm0 ed1 wm1 ed2 wm2 ed3 wm3 ed4 wm4 ed5 wm5 ed6 wm6 ed7 wm7 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 sivec ? siu interrupt vector 0x2f c01c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 interrupt code reserved reset: 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-27 6.13.3 system protection registers 6.13.3.1 system protection control register (sypcr) the system protection control register ( sypcr) controls the system monitors, the software watchdog period, and the bus monitor timing. this register can be read at any time, but can be written only once after system reset. 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 sypcr ? system protection control register 0x2f c004 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 swtc reset: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 bmt bme reserved swf swe swri swp reset: 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 table 6-13 sypcr bit descriptions bit(s) name description 0:15 swtc software watchdog timer count. this field contains the count value of the software watchdog tim- er. 16:23 bmt bus monitor timing. this field specifies the time-out period, in eight-system-clock resolution, of the bus monitor. 24 bme bus monitor enable 0 = disable bus monitor 1 = enable bus monitor 25:27 ? reserved 28 swf software watchdog freeze 0 = software watchdog continues to run while freeze is asserted 1 = software watchdog stops while freeze is asserted 29 swe software watchdog enable. software should clear this bit after a system reset to disable the swt. 0 = watchdog is disabled 1 = watchdog is enabled f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-28 6.13.3.2 software service register (swsr) the swsr is the location to which the swt servicing sequence is written. to prevent swt time-out, the user should write a 0x556c followed by 0xaa39 to this register. the swsr can be written at any time but returns all zeros when read. 30 swri software watchdog reset/interrupt select 0 = software watchdog time-out causes a non-maskable interrupt to the rcpu 1 = software watchdog time-out causes a system reset 31 swp software watchdog prescale 0 = software watchdog timer is not pre-scaled 1 = software watchdog timer is prescaled by 2048 table 6-13 sypcr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-29 6.13.3.3 transfer error status register (tesr) the transfer error status register contains a bit for each exception source generated by a transfer error. a bit set to logic 1 indicates what type of transfer error exception occurred since the last time the bits were cleared by reset or by the normal software status bit-clearing mechanism. note that these bits may be set due to canceled spec- ulative accesses which do not cause an interrupt. the register has two identical sets of bit fields; one is associated with instruction transfers and the other with data trans- fers. swsr ? software service register 0x2f c00e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 swsr reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-14 swsr bit descriptions bit(s) name description 0:15 swsr swt servicing sequence is written to this register. to prevent swt time-out, the user should write a 0x556c followed by 0xaa39 to this register. the swsr can be written at any time but returns all zeros when read. tesr ? transfer error status register 0x2f c020 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved iext ibmt reserved dext dbm reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-30 6.13.4 system timer registers the following sections describe registers a ssociated with the system timers. these fa- cilities are powered by the kapwr and can preserve their value when the main power supply is off. refer to 8.3.3 pre-divider for details on the required actions needed in order to guarantee this data retention. 6.13.4.1 decrementer register the 32-bit decrementer register is defined by the MPC555 / mpc556 architecture. the values stored in this register are used by a down counter to cause decrementer excep- tions. the decrementer causes an exception whenever bit zero changes from a logic zero to a logic one. a read of this register always returns the current count value from the down counter. contents of this register can be read or written to by the mfspr or the mtspr instruc- tion. the decrementer register is reset by poreset. hreset and sreset do not affect this register. the decrementer is powered by standby power and can continue to count when standby power is applied. refer to 3.9.5 decrementer register (dec) for more information on this register. 6.13.4.2 time base sprs the tb is a 64-bit register containing a 64-bit integer that is incremented periodically. there is no automatic initialization of th e tb; the system software must perform this table 6-15 tesr bit descriptions bit(s) name description 0:17 ? reserved 18 iext instruction external transfer error acknowledge. this bit is set if the cycle was terminated by an externally generated tea signal when an instruction fetch was initiated. 19 ibmt instruction transfer monitor time out. this bit is set if the cycle was terminated by a bus monitor time-out when an instruction fetch was initiated. 20:25 ? reserved 26 dext data external transfer error acknowledge. this bi t is set if the cycle was terminated by an exter- nally generated tea signal when a data load or store is requested by an internal master. 27 dbm data transfer monitor time out. this bit is set if the cycle was terminated by a bus monitor time- out when a data load or store is requested by an internal master. 28:31 ? reserved dec ? decrementer register spr 22 msb 0 lsb 31 decrementing counter poreset 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 hreset/sreset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-31 initialization. the contents of the register may be written by the mttbl or the mttbu in- structions, see 3.9.4 time base facility (tb) ? oea . refer to 3.8 powerpc vea register set ? time base and 3.9.4 time base facility (tb) ? oea for more information on reading and writing the tbu and tbl registers. 6.13.4.3 time base reference registers two reference registers (tbref0 and tbref1) are associated with the lower part of the time base (tbl). each is a 32-bit read/write register. upon a match between the contents of tbl and the reference register, a maskable interrupt is generated. 6.13.4.4 time base cont rol and status register the tbscr is 16-bit read/write register. it controls the tb, decrementer count enable, and interrupt generation and is used for reporting the source of the interrupts. the reg- ister can be read anytime. a status bit is cleared by writing a one to it. (writing a zero has no effect.) more than one bit can be cleared at a time. tb ? time base (reading) spr 268, 269 msb 0 31 32 lsb 63 tbu tbl reset: unchanged tb ? time base (writing) spr 284, 285 msb 0 31 32 lsb 63 tbu tbl reset: unchanged tbref0 ? time base reference register 0 0x2f c204 msb 0 lsb 31 tbref0 reset: tbref1 ? time base reference register 1 0x2f c208 msb 0 lsb 31 tbref1 reset: f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-32 6.13.4.5 real-time clock st atus and control register the rtcsc is used to enable the different rtc functions and to report the source of the interrupts. the register can be read anytime. a status bit is cleared by writing it to a one. (writing a zero does not affect a status bit?s value.) more than one status bit can be cleared at a time. this register is locked after reset. unlocking is accomplished by writing 0x55ccaa33 to its associated key register. see 8.9.3.2 keep alive power registers lock mechanism . tbscr ? time base control and status register 0x2f c200 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 tbirq refa refb reserved refae refbe tbf tbe poreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-16 tbscr bit descriptions bit(s) name description 0:7 tbirq time base interrupt request. these bits determine the interrupt priority level of the time base. re- fer to 6.4 interrupt controller for interrupt level encodings. 8refa reference a (tbref0) interrupt status. 0 = no match detected 1 = tbref0 value matches value in tbl 9refb reference b (tbref1) interupt status. 0 = no match detected 1 = tbref1 value matches value in tbl 10:11 ? reserved 12 refae reference a (tbref0) interrupt enable. if this bit is set, the time base generates an interrupt when the refa bit is set. 13 refbe reference b (tbref1) interrupt enable. if this bit is set, the time base generates an interrupt when the refb bit is set. 14 tbf time base freeze. if this bit is set, the time base and decrementer stop while freeze is assert- ed. 15 tbe time base enable 0 = time base and decrementer are disabled 1 = time base and decrementer are enabled rtcsc ? real-time clock status and control register 0x2f c220 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 rtcirq sec alr re- served 4m sie ale rtf rte reset: 0 0 0 0 0 0 0 0 0 0 0 ? 0 0 0 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-33 6.13.4.6 real-time clock register (rtc) the real-time clock register is a 32-bit read write register. it contains the current value of the real-time clock. a write to the rtc re sets the seconds timer to zero. this register is locked after reset. unlocking is acco mplished by writing 0x55ccaa33 to its as- sociated key register. see 8.9.3.2 keep alive power registers lock mechanism . 6.13.4.7 real-time clock alarm register (rtcal) the rtcal is a 32-bit read/write register. when the value of the rtc is equal to the value programmed in the alarm register, a maskable interrupt is generated. the alarm interrupt will be generated as soon as there is a match between the alarm field and the corresponding bits in the rtc. the resolution of the alarm is 1 sec. this register is locked after reset. unlocking is accomplished by writing 0x55ccaa33 to its associated key register. see 8.9.3.2 keep alive power registers lock mecha- nism . table 6-17 rtcsc bit descriptions bit(s) name description 0:7 rtcirq real-time clock interrupt request. thee bits determine the interrupt priority level of the rtc. re- fer to 6.4 interrupt controller for interrupt level encodings. 8 sec once per second interrupt. this status bit is set every second. it should be cleared by the soft- ware. 9alr alarm interrupt. this status bit is set when the value of the rtc equals the value programmed in the alarm register. 10 ? reserved 11 4m real-time clock source 0 = rtc assumes that it is driven by 20 mhz to generate the seconds pulse. 1 = rtc assumes that it is driven by 4 mhz 12 sie second interrupt enable. if this bit is set, the rtc generates an interrupt when the sec bit is set. 13 ale alarm interrupt enable. if this bit is set, the rtc generates an interrupt when the alr bit is set. 14 rtf real-time clock freeze. if this bit is set, the rtc stops while freeze is asserted. 15 rte real-time clock enable 0 = rtc is disabled 1 = rtc is enabled rtc ?real-time clock register 0x2f c224 msb 0 lsb 31 rtc reset: unchanged rtcal ? real-time clock alarm register 0x2f c22c msb 0 lsb 31 alarm reset: unchanged f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-34 6.13.4.8 periodic interrupt status and control register (piscr) the piscr contains the interrupt request level and the interrupt status bit. it also con- tains the controls for the 16 bits to be loaded into a modulus counter. this register can be read or written at any time. 6.13.4.9 periodic interrupt timer count register (pitc) the pitc register contains the 16 bits to be loaded in a modulus counter. this register is readable and writable at any time. piscr ? periodic interrupt status and control register 0x2f c240 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pirq ps reserved pie pitf pte hard reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-18 piscr bit descriptions bit(s) name description 0:7 pirq periodic interrupt request. these bits determine the interrupt priority level of the pit. refer to 6.4 interrupt controller for interrupt level encodings. 8ps periodic interrupt status. this bit is set if the pit issues an interrupt. the pit issues an interrupt after the modulus counter counts to zero. ps can be negated by writing a one to it. a write of zero has no affect. 9:12 ? reserved 13 pie periodic interrupt enable. if this bit is set, the time base generates an interrupt when the ps bit is set. 14 pitf pit freeze. if this bit is set, the pit stops while freeze is asserted. 15 pte periodic timer enable 0 = pit stops counting and maintains current value 1 = pit continues to decrement pitc ? periodic interrupt timer count 0x2f c244 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 pitc reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-35 6.13.4.10 periodic interrupt timer register (pitr) the periodic interrupt register is a read-only register that shows the current value in the periodic interrupt down counter. read or writing this register does not affect the register. table 6-19 pitc bit descriptions bit(s) name description 0:15 pitc periodic interrupt timing count. this field contains the 16-bit value to be loaded into the modulus counter that is loaded into the periodic timer. this register is readable and writeable at any time. 16:31 ? reserved pitr ? periodic interrupt timer register 0x2f c248 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 pit reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-20 pit bi t descriptions bit(s) name description 0:15 pit periodic interrupt timing count ? this field contains the current count remaining for the periodic timer. writes have no effect on this field. 16:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-36 6.13.5 general-purpose i/o registers 6.13.5.1 sgpio data register 1 (sgpiodt1) 6.13.5.2 sgpio data register 2 (sgpiodt2) sgpiodt1 ? sgpio data register 1 0x2f c024 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 sgpiod[0:7] sgpiod[8:15] reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 sgpiod[16:23] sgpiod[24:31] reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-21 sgpiodt1 bit descriptions bit(s) name description 0:7 sgpiod[0:7] siu general-purpose i/o group d[0:7]. this 8-bit register controls the data of general-pur- pose i/o pins sgpiod[0:7]. the direction (input or output) of this group of pins is controlled by the gddr0 bit in the sgpio control register. 8:15 sgpiod[8:15] siu general-purpose i/o group d[8:15]. this 8-bit register controls the data of general-pur- pose i/o pins sgpiod[8:15]. the direction (input or output) of this group of pins is con- trolled by the gddr1 bit in the sgpio control register. 16:23 sgpi- od[16:23] siu general-purpose i/o group d[16:23]. this 8-bit register controls the data of the gen- eral-purpose i/o pins sgpiod[16:23]. the direction (input or output) of this group of pins is controlled by the gddr2 bit in the sgpio control register 24:31 sgpi- od[24:31] siu general-purpose i/o group d[24:31]. this 8-bit register controls the data of the gen- eral-purpose i/o pins sgpiod[24:31]. the direction of sgpiod[24:31] is controlled by eight dedicated direction control signals sddrd[24:31]. each pin in this group can be con- figured separately as general-purpose input or output. sgpiodt2 ? sgpio data register 2 0x2f c028 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 sgpioc[0:7] sgpioa[8:15] reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 sgpioa[16:23] sgpioa[24:31] reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-37 6.13.5.3 sgpio control register (sgpiocr) table 6-22 sgpiodt2 bit descriptions bit(s) name description 0:7 sgpioc[0:7] siu general-purpose i/o group c[0:7]. this 8-bit register controls the data of the general- purpose i/o pins sgpioc[0:7]. the direction of sgpioc[0:7] is controlled by 8 dedicated direction control signals sddrc[0:7] in the sgpio control register. each pin in this group can be configured separately as general-purpose input or output. note : bit 0 controls sgpioc0, bit 1 controls sgpioc1, etc. 8:15 sgpioa[8:15] siu general-purpose i/o group a[8:15]. this 8-bit register controls the data of the general- purpose i/o pins sgpioa[8:15]. the gddr3 bit in the sgpio control register configures these pins as a group as general-purpose input or output. 16:23 sgpioa [16:23] siu general-purpose i/o group a[16:23]. this 8-bit register controls the data of the gener- al-purpose i/o pins sgpioa[16:23]. the gddr4 bit in the sgpio control register config- ures these pins as a group as general-purpose input or output. 24:31 sgpioa [24:31] siu general-purpose i/o group a[24:31]. this 8-bit register controls the data of the gener- al-purpose i/o pins sgpioa[24:31]. the gddr5 bit in the sgpio control register config- ures these pins as a group as general-purpose input or output. sgpiocr ? sgpio control register 0x2f c02c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 sddrc[0:7] reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 gddr 0 gddr 1 gddr 2 gddr 3 gddr 4 gddr 5 reserved sddrd[24:31] reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 6-23 sgpio cr bit descriptions bit(s) name description 0:7 sddrc[0:7] sgpio data direction for sgpioc[0:7]. each sddr bit zero to seven controls the direction of the corresponding sgpioc pin zero to seven 8:15 ? reserved 16 gddr0 group data direction for sgpiod[0:7] 17 gddr1 group data direction for sgpiod[8:15] 18 gddr2 group data direction for sgpiod[16:23] 19 gddr3 group data direction for sgpioa[8:15] 20 gddr4 group data direction for sgpioa[16:23] 21 gddr5 group data direction for sgpioa[24:31] 22:23 ? reserved 24:31 sddrd [24:31] sgpio data direction for sgpiod[24:31]. each sddrd bit 24:31 controls the direction of the corresponding sgpiod pin [24:31]. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 system configuration and protection motorola user?s manual rev. 15 october 2000 6-38 table 6-24 describes the bit values for data direction control. table 6-24 data direction control sddr/gddr operation 0 sgpio configured as input 1 sgpio configured as output f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-1 section 7 reset this section describes the MPC555 / mpc556 reset sources, operation, control, and status. 7.1 reset operation the MPC555 / mpc556 has several inputs to the reset logic which include the follow- ing:  power on reset  external hard reset pin (hreset )  external soft reset pin (sreset )  loss of lock  on-chip clock switch  software watchdog reset  checkstop reset  debug port hard reset  debug port soft reset  jtag reset all of these reset sources are fed into the reset controller. the control logic determines the cause of the reset, synchronizes it if necessary, and resets the appropriate logic modules, depending on the source of th e reset. the memory controller, system pro- tection logic, interrupt controller, and parallel i/o pins are initialized only on hard reset. external soft reset initializ es internal logic while maintaining system configuration. the reset status register (rsr) reflects the most recent source to cause a reset. 7.1.1 power on reset the power-on reset pin, poreset , is an active low input. in a system with power- down low-power mode, this pin should be activated only as a result of a voltage failure in the kapwr pin. after detecting the assertion of poreset , the MPC555 / mpc556 enters the power-on reset state. during this state the modck[1:3] signals determine the oscillator frequency, pll multiplication factor, and the pitrclk and tmbclk clock sources. in addition, the MPC555 / mpc556 asserts the sreset and hreset pins. the poreset pin should be asserted for a minimum time of 100,000 cycles of clock oscillator after a valid level has been reached on the kapwr supply. after detecting the assertion of poreset , the MPC555 / mpc556 remains in the power-on reset state until the last of the following two events occurs:  the internal pll enters the lock state and the system clock is active.  the poreset pin is negated. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-2 if the MPC555 / mpc556 is in single-chip mode and limp mode is enabled, the internal pll is not required to be locked before the chip exits power-on reset. after exiting the power-on reset state, the mcu continues to drive the hreset and sreset pins for 512 system clock cycles. when the timer expires (after 512 cycles), the configuration is sampled from data bus pins, if required (see 7.5.1 hard reset configuration ) and the mcu stops driving the hreset and sreset pins. in addi- tion, the internal modck[1:3] values are sampled. the poreset pin has a glitch detector to ensure that low spikes of less than 20 ns are rejected. the internal poreset signal asserts only if the poreset pin asserts for more than 100 ns. 7.1.2 hard reset hreset (hard reset) is an active low, bi-directional i/o pin. the MPC555 / mpc556 can detect an external assertion of hreset only if it occurs while the mcu is not as- serting reset. when the MPC555 / mpc556 detects assertion of the external hreset pin or a cause to assert the internal hreset line, is detected the chip starts to drive the hreset and sreset for 512 cycles. when the timer expires (after 512 cycles) the configuration is sampled from data pins (refer to 7.5.1 hard reset configuration ) and the chip stops driving the hreset and sreset pins. an external pull-up resistor should drive the hreset and sreset pins high. after detecting the negation of hreset or sreset , the mcu waits 16 clock cycles before testing the presence of an external hard or soft reset. the hreset pin has a glitch detector to ensure that low spikes of less than 20 ns are rejected. the internal hreset will be asserted only if hreset is asserted for more than 100 ns. the hreset is an open collector type pin. 7.1.3 soft reset sreset (soft reset) is an active low, bi-directional i/o pin. the MPC555 / mpc556 can only detect an external assertion of sreset if it occurs while the MPC555 / mpc556 is not asserting reset. when the MPC555 / mpc556 detects the assertion of external sreset or a cause to assert the internal sreset line, the chip starts to drive the sreset for 512 cycles. when the timer expires (after 512 cycles) the debug port configuration is sampled from the dsdi and dsck pins and the chip stops driving the sreset pin. an external pull- up resistor should drive the sreset pin high. after the MPC555 / mpc556 detects the negation of sreset , it waits 16 clock cycles before testing the presence of an ex- ternal soft reset. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-3 7.1.4 loss of lock if the pll detects a loss of lock, erroneous external bus operation will occur if syn- chronous external devices use the MPC555 / mpc556 input clock. erroneous opera- tion could also occur if devices with a pll use the MPC555 / mpc556 clkout signal. this source of reset can be optionally asserted if the lolre bit in the pll, low-power, and reset control register (plprcr) is set. the enabled pll loss of lock event gener- ates an internal hard reset sequence. refer to section 8 clocks and power control for more information on loss of lock. 7.1.5 on-chip clock switch if the system clocked is switched to the ba ckup clock or switched from backup clock to another clock source an internal hard reset sequence is generated. refer to sec- tion 8 clocks and power control . 7.1.6 software watchdog reset when the MPC555 / mpc556 software watchdog counts to zero, a software watchdog reset is asserted. the enabled software watchdog event generates an internal hard re- set sequence. 7.1.7 checkstop reset when the rcpu enters a checkstop state, and the checkstop reset is enabled (the csr bit in the plprcr is se t), a checkstop reset is a sserted. the e nabled checkstop event generates an internal hard reset sequence. refer to the rcpu reference man- ual (rcpurm/ad) for more information. 7.1.8 debug port hard reset when the development port receives a hard reset request from the development tool, an internal hard reset sequence is generated, see section 8 clocks and pow- er control . in this case the development tool must reconfigure the debug port. re- fer to section 21 development support for more information. 7.1.9 debug port soft reset when the development port receives a soft reset request from the development tool, an internal soft reset sequence is generated, see section 8 clocks and power control . in this case the development tool must reconfigure the debug port. refer to section 21 development support for more information. 7.1.10 jtag reset when the jtag logic asserts the jtag soft reset signal, an internal soft reset se- quence is generated, see section 8 clocks and power control . refer to section 22 ieee 1149.1-compliant interface (jtag) for more information. 7.2 reset actions summary table 7-1 summarizes the action taken for each reset. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-4 7.3 data cohere ncy during reset the MPC555 / mpc556 supports data coherency and avoids data corruption while re- set. if a cycle is to be executed when detecting any sreset or hreset source, then the cycle will either complete or will not st art before gene rating the corre sponding reset control signal. there are reset sources, however, when the MPC555 / mpc556 gen- erates an internal reset due to special internal situation where this protection is not supported. see 7.4 reset status register . in the case of large operand size (32 or 16 bits) transaction to a smaller port size, the cycle is split into two 16-bit or four 8-bit cycl es. in this case, data coherency is assured and data will not be corrupted. in the case where the core executes an unaligned load/store cycle which is broken down into multiple cycles, data coherency is not assured between these cycles (i.e., data could be corrupted). a contention on the data pins may occur while asserting external reset (ext_reset ) if the data coherency mechanism is required, and thus enables a cycle to complete, while external hardware drives the data for the configuration word. see table 7-2 for a description of the required ext_reset line source in a system. table 7-1 reset ac tion taken for each reset cause reset source reset logic and pll states reset system configura- tion reset clock module reset hreset pin driven debug port configura- tion other internal logic reset sreset pin driven power on reset yes yes yes yes yes yes yes hard reset sources external hard reset loss of lock on-chip clock switch illegal low-power mode software watchdog checkstop debug port hard reset no yes yes yes yes yes yes soft reset sources external soft reset debug port soft reset jtag reset no no no no yes yes yes table 7-2 reset config uration word and data corruption/coherency reset driven reset to use for data coherency (ext_reset ) comments hreset sreset sreset hreset hreset & sreset hreset || sreset provided only one of them is driven into the MPC555 / mpc556 at a time f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-5 7.4 reset status register all of the reset sources are fed into the rese t controller. the 16-bit reset status register (rsr) reflects the most recent source, or sources, of reset. (simultaneous reset re- quests can cause more than one bit to be set at the same time.) this register contains one bit for each reset source. a bit set to logic one indicates the type of reset that oc- curred. once set, individual bits in the rsr re main set until softwa re clears them. can be cleared by writing a one to the bit. a write of zero has no effect on the bit. the register can be read at all times. the reset status re gister receives its default reset values dur- ing power-on reset. the rsr is powered by the kapwr pin. rsr ? reset status register 0x2f c288 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ehrs esrs llrs swrs csrs db- hrs db- srs jtrs occs ilbc gpor gh- rst gsrs t reserved reset: 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 table 7-3 reset status register bit descriptions bit(s) name description 0 ehrs 1 external hard reset status 0 = no external hard reset has occurred 1 = an external hard reset has occurred 1 esrs 1 external soft reset status 0 = no external soft reset has occurred 1 = an external soft reset has occurred 2llrs loss of lock reset status 0 = no enabled loss-of-lock reset has occurred 1 = an enabled loss-of-lock reset has occurred 3swrs software watchdog reset status 0 = no software watchdog reset has occurred 1 = a software watchdog reset has occurred 4csrs checkstop reset status 0 = no enabled checkstop reset has occurred 1 = an enabled checkstop reset has occurred 5dbhrs debug port hard reset status 0 = no debug port hard reset request has occurred 1 = a debug port hard reset request has occurred 6dbsrs debug port soft reset status 0 = no debug port soft reset request has occurred 1 = a debug port soft reset request has occurred 7jtrs jtag reset status 0 = no jtag reset has occurred 1 = a jtag reset has occurred 8 occs on-chip clock switch 0 = no on-chip clock switch reset has occurred 1 = an on-chip clock switch reset has occurred f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-6 7.5 reset configuration 7.5.1 hard reset configuration when a hard reset event occurs, the MPC555 / mpc556 reconfigures its hardware system as well as the development port configuration the logical value of the bits that determine its initial mode of operation, are sampled from the following:  the external data bus pins data[0:31]  an internal default constant (0x0000 0000)  an internal nvm register value (cmfcfig) if at the sampling time (at hreset negation) rstconf is asserted, then the config- uration is sampled from the data bus. if rstconf is negated and a valid nvm value exists (cmfcfig bit hc =0), then the configuration is sampled from the nvm register in the cmf module. if rstconf is negated and no valid nvm value exists (cmfcfig bit hc =1), then the configuration word is sampled from the internal default. hc will be ?1? if the internal flash is erased. table 7-4 summarizes the reset configuration op- tions. note if the cmfcfig reset config word is being used, then the flash is au- tomatically enabled. 9ilbc illegal bit change. this bit is set when the MPC555 / mpc556 changes any of the following bits when they are locked: lpm[0:1], locked by the lpml bit mf[0:11], locked by the mfpdl bit divf[0:4], locked by the mfpdl bit 10 gpor glitch detected on poreset pin. this bit is set when the poreset pin is asserted for more than tbd ns 0 = no glitch was detected on the poreset pin 1 = a glitch was detected on the poreset pin 11 ghrst glitch detected on hreset pin. this bit is set when the hreset pin is asserted for more than tbd ns 0 = no glitch was detected on the hreset pin 1 = a glitch was detected on the hreset pin 12 gsrst glitch detected on sreset pin. if the sreset pin is asserted for more than tbd ns the ghrst bit will be set. if an internal or external sreset is generated the sreset pin is asserted and the gsrst bit will be set. the gsrst bit remains set until software clears it. the gsrst bit can be negated by writing a one to gsrst. a write of zero has no effect on this bit. 0 = no glitch was detected on sreset pin 1 = a glitch was detected on sreset pin . 13:15 ? reserved notes: 1. in the usiu rsr, if both ehrs and esrs are set, the reset source is internal. the ehrs and esrs bits in rsr register are set for any internal reset source in addition to external hreset and external sreset events. if both internal and external indicator bits are set, then the reset source is internal. table 7-3 reset status register bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-7 if the prds control bit in the pdmcr register is set and hreset and rstconf are asserted, the MPC555 / mpc556 pulls the data bus low with a weak resistor. the user can overwrite this default by driving the appropriate bit high. see figure 7-1 for the basic reset configuration scheme. figure 7-1 reset conf iguration basic scheme during the assertion of the poreset input signal, the chip assumes the default reset configuration. this assumed configuration changes if the input signal rstconf is as- serted when the poreset is negated or the clkout starts to oscillate. to ensure table 7-4 reset c onfiguration options rstconf has configuration (hc) internal configuration word 0 x data[0:31] pins 1 0 nvm flash eeprom register (cmfcfig) 1 1 internal data word default (0x0000 0000) dx (data line) mux cmf 32 32 32 data coherency MPC555 has configuration hc oe ext_reset (see table 7-2 ) rstconf hreset/sreset int_reset config. word f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-8 that stable data is sampled, the hardware configuration is sampled every eight clock cycles on the rising edge of clkout with a double buffer. the setup time required for the data bus is approximately 15 cycles, and the maximum rise time of hreset should be less than 6 clock cycles. in systems where an external reset configuration word and the texp output function are both required, rstconf should be asserted until sreset is negated. figure 7-2 to figure 7-5 provide sample reset configuration timings. figure 7-2 reset confi guration sampling scheme for ?short? poreset assertion, limp mode disabled clkout poreset hreset rstconf internal poreset default rstconf controlled tsup internal data[0:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-9 figure 7-3 reset configuration timing for ?short? poreset assertion, limp mode enabled figure 7-4 reset configuration timing for clkout poreset hreset rstconf internal poreset default rstconf controlled tsup internal data(0:31) (backup clock) sreset clkout poreset hreset rstconf internal poreset default rstconf controlled tsup internal data[0:31] pll lock f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-10 ?long? poreset assertion, limp mode disabled f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-11 figure 7-5 reset configurati on sampling timing requirements clkout hreset rstconf data 12345678910111213141516 maximum time of reset recognition reset configuration word tsup = minimum setup time of reset recognition = 15 clocks sample data configuration sample data configuration (maximum rise time - up to 6 clocks) internal reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-12 7.5.2 hard reset configuration word the hard reset configuration word, which is sampled from the internal data bus on the negation of hreset , is shown below. the reset configuration word is not a register in the memory map. most of the bits in the configuration are located in registers in the usiu. the user should refer to the appropriate register definition for a detailed descrip- tion of each control bit. hard reset configuration word msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 earb ip bdrv bdis bps reserved dbgc dbpc atwc ebdf re- served default: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 lsb 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 prpm sc etre flen en_ comp exc_ comp re- served reserved isb dme default: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 7-5 hard reset configur ation word bit descriptions bit(s) name description 0 earb external arbitration. refer to 6.13.1.1 siu module configuration register for a detailed bit definition. 0 = internal arbitration is performed 1 = external arbitration is assumed 1ip initial interrupt prefix. this bit defines the initial value of the msr[ip] bit immediately after re- set. msr[ip] defines the interrupt table location. 0 = msr[ip] = 0 after reset 1 = msr[ip] = 1 after reset 2 bdrv bus pins drive strength. this bit determines the driving capability of the bus pins (address, data, and control) and the clkout pin. for details, refer to description of the com bits in 8.12.1 system clock control register (sccr) . the default value is full drive strength for the bus pins and clkout. 0 = full drive 1 = reduced drive 3bdis external boot disable. if a write to the or0 register occurs after reset, this bit definition is ig- nored. 0 = memory controller bank 0 is active and matches all addresses immediately after reset 1 = memory controller is not activated after reset. 4:5 bps boot port size. if a write to the or0 register oc curs after reset, this field definition is ignored. 00 = 32-bit port (default) 01 = 8-bit port 10 = 16-bit port 11 = reserved 6:8 ? reserved 9:10 dbgc debug pins configuration. see 6.13.1.1 siu module configuration register for this field definition. the default value is for these pins to function as vfls[0:1], bi , br , bg , and bb . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-13 7.5.3 soft reset configuration when a soft reset event occurs, the MPC555 / mpc556 reconfigures the development port. refer to section 21 development support for details. 11 dbpc debug port pins configuration. see 6.13.1.1 siu module configuration register for this field definition. the default value is for these pins to function as development support pins. 12 atwc address type write-enable configuration. refer to 6.13.1.1 siu module configuration reg- ister for this field definition. the default value is for these pins to function as write-enable pins. 13:14 ebdf external bus division factor. this field defines the initial value of the external bus frequency. refer to 8.12.1 system clock control register (sccr) for details. the default value is that clkout frequency is equal to that of the internal clock (divide by one). 15 ? reserved 16 prpm peripheral mode enable. this bit determines whether the chip is in peripheral mode. refer to 6.13.1.3 external master control register (emcr) for details. the default value is that pe- ripheral mode is not enabled. 17:18 sc single chip select. refer to 6.13.1.1 siu module configuration register for details. 00 = extended chip, 32 bits data 01 = extended chip, 16 bits data 10 = single chip and show cycles (address) 11 = single chip 19 etre exception table relocation enable. this field defines whether the exception table relocation feature in the bbc is enabled or disabled. the default state is disabled. refer to section 4 burst buffer for details. 20 flen flash enable ? this field determines whether the on-chip flash memory is enabled or dis- abled out of reset. the default state is disabled, which means that by default, the boot is from external memory. 0 = flash disabled ? boot is from external memory 1 = flash enabled 21 en_ comp 1 enable compression ? this bit enables the operation of the MPC555 / mpc556 with com- pressed code. the default state is disabled. see table 4-8 . 22 exc_ comp 1 exception compression ? this bit determines the operation of the MPC555 with exceptions. if this bit is set, than the MPC555 assumes that all the exception routines are in compressed code. the default indicates the exceptions are all non-compressed. see table 4-8 . 23 ? this bit should not be high in the reset configuration word. 24:27 ? reserved 28:30 isb initial internal space base select. this field defines the initial value of the isb field in the immr register. refer to 6.13.1.2 internal memory map register for details. the default state is that the internal memory map is mapped to start at address 0x0000 0000. 31 dme dual mapping enable. this bit determines whether dual mapping of the flash eeprom mod- ule is enabled. refer to 10.8.5 dual mapping base register (dmbr) for details.the default value is for dual mapping to be disabled. 0 = dual mapping disabled 1 = dual mapping enabled notes: 1. this bit is available only on the MPC555 / mpc556. table 7-5 hard reset configuration word bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 reset motorola user?s manual rev. 15 october 2000 7-14 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-1 section 8 clocks and power control 8.1 overview the main timing reference for the MPC555 / mpc556 can monitor any of the following:  a crystal with a frequency of four mhz or 20 mhz  an external frequency source with a frequency of 4 mhz  an external frequency source at the system frequency the system operating frequency is generated through a programmable phase-locked loop, the system pll (spll). the spll is pr ogrammable in integer multiples of the input oscillator frequency to generate the in ternal (vco/2) operating frequency. a pre- divider before the spll enables the user to divide the high frequency crystal oscillator. the spll vco is twice the system frequency. the internal operating spll frequency should be at least 30 mhz. it can be divided by a power-of-two divider to generate the system operating frequencies. in addition to the system clock, the clocks submodule provides the following:  tmbclk to the time base (tb) and decrementer (dec)  pitrtclk to the periodic interrupt timer (pit) and real-time clock (rtc) the oscillator, tb, dec, rtc, and the pi t are powered from the keep alive power supply (kapwr) pin. this allows the counters to continue to count (increment/decre- ment) at the oscillator frequency even when the main power to the mcu is off. while the power is off, the pit may be used to signal to the power supply ic to enable power to the system at specific intervals. this is the power-down wake-up feature. when the chip is not in power-down low-power mode, the kapwr is powered to the same volt- age value as the voltage of the i/o buffers and logic. the MPC555 / mpc556 clock module consis ts of the main crystal oscillator (oscm), the spll, the low-power divider, the clo ck generator, the system low-power control block, and the limp mode control block. the clock module receives control bits from the system clock control register (sccr), ch ange of lock interrupt register (colir), the low-power and reset-control register (plprcr), and the pll. all of the MPC555 peripherals on the imb bus derive its clock timing from the uimb module. the uimb runs on the main system clock, but can divide the system frequen- cy in half. see 12.3 clock module . figure 8-1 illustrates the functional block diagram of the clock unit. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-2 figure 8-1 clock unit block diagram 2:1 spll clock gclk1 / gclk2 gclk1c / gclk2c vcoout clkout 3:1 mux system low-power control xfc tmbclk tmbclk lock vddsyn drivers driver main clock xtal extal 3:1 mux rtc / pit clock and driver oscillator mux tbclk (/4 or /16) modck[1:3] pitrtclk extclk 2:1 mux low power dividers (1/2n) /4 or /256 gclk2 back_up clock detector oscillator loss engclk vsssyn drivers system clock system clock to rcpu and bbc f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-3 8.2 system clock sources the system clock can be provided by the main system oscillator (oscm), an external clock input, or the backup clock (buclk) on-chip ring oscillator, see figure 8-2 . the oscm uses either a 4-mhz or 20-mhz crystal to generate the pll reference clock. when the main system oscillator ou tput is the timing reference to the system pll, skew elimination between the xtal/extal pins and clkout is not guaran- teed. the external clock input receives a clock signal from an external source. the clock fre- quency must be either in the range of 3 mhz ? 5 mhz or at the system frequency of at least 15 mhz (1:1 mode). when the external clock input is the timing reference to the system pll skew elimination between the ex tclk pin and the clkout is less than 1 ns. the backup clock on-chip ring oscillator enabl es the mcu to function with a less pre- cise clock. when operating from the backup clock, the mcu is in limp mode . this en- ables the system to continue minimum func tionality until the system is fixed. the buclk frequency is approximately 7 mhz (see appendix g electrical char- acteristics for the complete frequency range). for normal operation, at least one clock source (extclk or oscm) must be active. a configuration with both clock sources active is possible as well. at this configuration extclk provides the oscclk and oscm provides the pitrtclk. the input of an unused timing reference (extclk or extal) must be grounded. figure 8-2 main system oscillator (oscm) 8.3 system pll the pll allows the processor to operate at a high internal clock frequency using a low frequency clock input, a feature which offers two benefits. lower frequency clock input reduces the overall electromagnetic interf erence generated by the system, and the ability to oscillate at different frequencies re duces cost by eliminating the need to add an additional oscillator to a system. the pll can perform the following functions:  frequency multiplication extal xtal c l c l 1 m ? * * resistor is not currently required on the board but space should be available for its addition in the future. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-4  skew elimination  frequency division 8.3.1 frequency multiplication the pll can multiply the input frequency by any integer between one and 4096. the multiplication factor depends on the value of the mf[0:11] bits in the plprcr register. while any integer value from one to 4096 can be programmed, the resulting vco out- put frequency must be at least 15 mhz. the multiplication factor is set to a predeter- mined value during power-on reset as defined in table 8-1 . 8.3.2 skew elimination the pll is capable of eliminating the skew between the external clock entering the chip (extclk) and both the internal clock phases and the clkout pin, making it use- ful for tight synchronous timings. skew elimination is active only when the pll is en- abled and programmed with a multiplication factor of one or two (mf = 0 or 1). the timing reference to the system pll is the external clock input. 8.3.3 pre-divider a pre-divider before the phase comparator enables additional system clock resolution when the crystal oscillator frequency is 20 mhz. the division factor is determined by the divf[0:4] bits in the plprcr. 8.3.4 pll block diagram as shown in figure 8-3 , the reference signal, oscclk, goes to the phase compara- tor. the phase comparator controls the direction (up or down) that the charge pump drives the voltage across the external filter capacitor (xfc). the direction depends on whether the feedback signal phase lags or leads the reference signal. the output of the charge pump drives the vco. the output frequency of the vco is divided down and fed back to the phase comparator for comparison with the reference signal, oscclk. the mf values, zero to 4095, are mapped to multiplication factors of one to 4096. note that when the pll is operating in 1:1 mode (refer to table 8-1 ), the multi- plication factor is one (mf = 0). the pll output frequency is twice the maximum sys- tem frequency. this double frequency is needed to generate gclk1 and gclk2 clocks. on power-up, with a four mhz or 20 mhz crystal and the default mf settings, system frequency (freq sys ) will be 40 mhz and the syst em clock will be 20 mhz. the equation for system frequency (freq sys ) is shown below: note when operating with the backup cl ock, the system clock (and clk- out) is one-half of the ring oscillator frequency. (i.e., the system clock is a nominal seven mhz). th e time base and pit clocks will be twice the system clock frequency. system frequency (freq sys ) = oscclk divf + 1 x (mf + 1) x 2 / 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-5 the pll maximum lock time is determined by the input clock to the phase detector. the pll locks within 500 input clock cycles. note upon initial system power up and after kapwr is lost, an external circuit must assert power on reset (poreset ). if limp mode will be enabled during power-on reset, poreset must be asserted for at least 100,000 cycles of input pll clock after a valid level has been reached on the kapwr supply. if limp mode will be disabled, poreset should be asserted for approximately 3 s after a valid level has been reached on the kapwr supply. whenever power-on reset is asserted, the mf bits are set according to table 8-1 , and the dfnh and dfnl bits in sccr are set to the value of 0 ( 1 and 2), respectively. figure 8-3 system pll block diagram 8.3.5 pll pins the following pins are dedicated to the pll operation:  vddsyn ? drain voltage. this is the v dd dedicated to the analog pll circuits. the voltage should be well-regulated and the pin should be provided with an ex- tremely low impedance path to the v dd power rail. vddsyn should be bypassed to vsssyn by a 0.1 f capacitor located as close as possible to the chip pack- age.  vsssyn ? source voltage. this is the v ss dedicated to the analog pll circuits. the pin should be provided with an extremely low impedance path to ground. vsssyn should be bypassed to vddsyn by a 0.1 f capacitor located as close as possible to the chip package.  xfc ? external filter capacitor. xfc connects to the off-chip capacitor for the pll filter. one terminal of the capacitor is connected to xfc, and the other termi- nal is connected to vddsyn. the off-chip capacitor must have the following values: vddsyn / vsssyn phase comparator multiplication factor mf[0:11] xfc oscclk up down system feedback clock delay charge pump vco division factor divf[0:4] (freq sys ) frequency 2 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-6 0 < mf + 1 < 4 (680 x (mf + 1) ? 120) pf mf + 1 4 1100 x (mf + 1) pf where mf = the value stored on mf[0:11]. this is one less than the desired frequency multiplication factor. 8.4 system clock du ring pll loss of lock at reset, until the spll is locked, the spll output clock is disabled. during normal operation (once the pll has locked), either the oscillator or an external clock source is generating the system clock. in this case, if loss of lock is detected and the lolre (loss of lock reset enable) bit in the plprcr is cleared, the system clock source continues to function as the pll?s output clock. the usiu timers can operate with the input clock to the pll, so that these timers are not affected by the pll loss of lock. software can use these timers to measure the loss-of-lock period. if the timer reaches the user-preset software criterion, the mcu can switch to the backup clock by setting the switch to backup clock (stbuc ) bit in the sccr, provided the limp mode enable (lme) bit in the sccr is set. if loss of lock is detected during normal operation, assertion of hreset (for example, if lolre is set) disables the pll output clock until the lock condition is met. during hard reset, the stbuc bit is set as long as the pll lock condition is not met and clears when the pll is locked. if stbuc and lme are both set, the system clock switches to the backup clock, and the chip operates in limp mode until stbuc is cleared. every change in the lock status of the pll can generate a maskable interrupt. note when the vco is the system clock source, chip operation is unpre- dictable while the pll is unlocked. note further that a switch to the backup clock is possible only if the lme bit in the sccr is set. 8.5 low-power divider the output of the pll is sent to a low-power divider block. (in limp mode the buclk is sent to a low-power divider block.) this block generates all other clocks in normal operation, but has the ability to divide the output frequency of the vco before it gen- erates the general system clocks sent to the rest of the MPC555 / mpc556. the pll system frequency (freq sys ) is always divided by at least 2. the purpose of the low-power divider block is to allow the user to reduce and restore the operating frequencies of different sections of the MPC555 / mpc556 without losing the pll lock. using the low-power divider block, the user can still obtain full chip op- eration, but at a lower frequency. this is called gear mode. the selection and speed of gear mode can be changed at any time, with changes occurring immediately. the low-power divider block is controlled in the system clock control register (sccr). the default state of the low-power divider is to divide all clocks by one. thus, for a 40- mhz system, the general system clocks are each 40 mhz. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-7 8.6 MPC555 / mpc556 internal clock signals the internal clocks generated by the clocks module are shown in figure 8-4 . the clocks module also generates the clkout and engclk external clock signals. the pll synchronizes these signals to each other. the pitrtclk frequency and source are specified by the rtdiv and rtsel bits in the sccr. when the backup clock is functioning as the system clock, the backup clock is automatically selected as the time base clock source and is twice the MPC555 / mpc556 system clock. figure 8-4 MPC555 / mpc556 clocks note that gclk1_50, gclk2_50, and clkout can have a lower frequency than gclk1 and gclk2. this is to enable the external bus operation at lower frequencies (controlled by ebdf in the sccr). gclk2_50 always rises simultaneously with gclk1 gclk2 gclk1_50 gclk2_50 clkout t1 t2 t3 t4 gclk1_50 gclk2_50 (ebdf = 00) (ebdf = 00) (ebdf = 01) (ebdf = 01) clkout (ebdf = 00) (ebdf = 01) system clock f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-8 gclk2. when dfnh = 0, gclk2_50 has a 50% duty cycle. with other values of dfnh or dfnl, the duty cycle is less than 50%. refer to figure 8-7 . gclk1_50 rises simultaneously with gclk1. when the MPC555 / mpc556 is not in gear mode, the falling edge of gclk1_50 occurs in the middle of the high phase of gclk2_50. ebdf determines the division factor between gclk1/gclk2 and gclk1_50/gclk2_50. during power-on reset, the mocck1, modck2, and modck3 pins determine the clock source for the pll and the clock drivers. these pins are latched on the positive edge of poreset . their values must be stable as long as this line is asserted. the configuration modes are shown in table 8-1 . modck1 specifies the input source to the spll (oscm or extclk). modck1, modck2, and modck3 together deter- mine the multiplication factor at reset and the functionality of limp mode. if the configuration of pitrtclk and tmbclk and the spll multiplication factor is to remain unchanged in power-down low-power mode, the modck signals should not be sampled at wake-up from this mode. in this case the poreset pin should remain negated and hreset should be asserted during the power supply wake-up stage. when modck1 is cleared, the output of the main oscillator (oscm) is selected as the input to the spll. when modck1 is asserted, the external clock input (extclk) is selected as the input to the spll. in all cases, the system clock frequency (freq gclk2 ) can be reduced by the dfnh[0:2] bits in the sccr. note that freq gclk2(max) occurs when the dfnh bits are cleared. the tbs bit in the sccr select s the time base clock to be either the spll input clock or gclk2. when the backup clock is functioning as the system clock, the backup clock is automatically selected as the time base clock source. the pitrtclk frequency and source are specified by the rtdiv and rtsel bits in the sccr. when the backup clock is func tioning as the system clock, the backup clock is automatically selected as the time base clock source. when the poreset pin is negated (driven to a high value), the modck1, modck2, and modck3 values are not affected. they remain the same as they were defined during the most recent power-on reset. table 8-1 shows the clock configuration modes during power-on reset (poreset as- serted). note the modck[1:3] are shared functions with irq [5:7]. if irq [5:7] are used as interrupts, the interrupt source should be removed during poreset to insure the modck pins are in the correct state on the rising edge of poreset . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-9 note the reset value of the pll pre-divider is 1. the values of the pitrtclk clock division and tmbclk clock division can be changed by software. the rtdiv bit value in the sccr register defines the division of pitrtclk. all possible combinations of the tmbclk divisions are listed in table 8-2 . 8.6.1 general system clocks the general system clocks (gclk1c, gclk2c, gclk1, gclk2, gclk1_50, and gclk2_50) are the basic clock supplied to all modules and sub-modules on the MPC555 / mpc556. gclk1c and gclk2c are supplied to the rcpu and to the bbc. gclk1c and gclk2c are stopped when the chip enters the doze-low power mode. gclk1 and gclk2 are supplied to the siu and the clock module. the external bus clock gclk2_50 is the same as clkout. the general system clock defaults to vco/ table 8-1 reset clocks source configuration modck[1:3] 1 notes: 1. for other implementations in the mpc500 family, modck2 could be inverted. lme default values @ poreset spll options mf + 1 pitclk division tmbclk division 000 0 513 4 4 used for testing purposes. 001 0 1 256 16 normal operation, pll enabled. main timing reference is freq(oscm) = 20 mhz. limp mode disabled. 010 1 5 256 4 normal operation, pll enabled. main timing reference is freq(oscm) = 4 mhz. limp mode enabled. 011 1 1 256 16 normal operation, pll enabled. main timing reference is freq(oscm) = 20 mhz. limp mode enabled. 100 101 0 1 256 16 normal operation, pll enabled. 1:1 mode freqclkout(max) = freq(extclk) limp mode disabled. 110 0 5 256 4 normal operation, pll enabled. main timing reference is freq(extclk) = 3-5 mhz. limp mode disabled. 111 1 1 256 16 normal operation, pll enabled. 1:1 mode freqclkout(max) = freq(extclk) limp mode enabled. table 8-2 tmbclk divisions sccr[tbs] mf + 1 tmbclk division 1?16 01, 216 0> 24 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-10 2 = 20 mhz (assuming a 20-mhz system frequency) with default power-on reset mf values. the general system clock frequency can be switched between different values. the highest operational frequency can be achieved when the system clock frequency is determined by dfnh (csrc bit in the plprcr is cleared) and dfnh = 0 (division by one). the general system clock can be operated at a low frequency (gear mode) or a high frequency. the dfnl bits in sccr define the low frequency. the dfnh bits in sccr define the high frequency. the frequency of the general system clock can be changed dynamically with the sys- tem clock control register (sccr), as shown in figure 8-5 . figure 8-5 general system clocks select the frequency of the general system clock can be changed ?on the fly? by software. the user may simply cause the general system clock to switch to its low frequency. however, in some applications, there is a need for a high frequency during certain pe- riods. interrupt routines, for example, may require more performance than the low fre- quency operation provides, but must consume less power than in maximum frequency operation. the MPC555 / mpc556 provides a method to automatically switch between low and high frequency operation whenever one of the following conditions exists:  there is a pending interrupt from the interrupt controller. this option is maskable by the prqen bit in the sccr.  the (pow) bit in the msr is clear in normal operation. this option is maskable by the prqen bit in the sccr. when neither of these conditions exists and the csrc bit in plprcr is set, the gen- eral system clock switches automatically back to the low frequency. abrupt changes in the divide ratio can cause linear changes in the operating currents of the MPC555 / mpc556. insure that the proper power supply filtering is available to handle this change instantaneously. when the general system clock is divided, its duty cycle is changed. one phase re- mains the same (e.g., 12.5 ns @ 40 mhz) while the other become longer. note that clkout does not have a 50% duty cycle wh en the general system clock is divided. the clkout waveform is the same as that of gclk2_50. dfnh divider dfnl divider vco/2 (e.g., 40 mhz) dfnh normal low power general system clock dfnl o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-11 figure 8-6 divided system clocks timing diagram the system clocks gclk1 and gclk2 frequency is: therefore, the complete equation for de termining the system clock frequency is: the clocks gclk1_50 and gclk2_50 frequency is: figure 8-7 shows the timing of usiu clo cks when dfnh = 1 or dfnl = 0. gclk1 divide by 1 gclk2 divide by 1 gclk1 divide by 2 gclk2 divide by 2 gclk1 divide by 4 gclk2 divide by 4 freq sys freqsysmax 2 dfnh () or 2 dfnl 1 + () ------------------------------------------------------- - = where freqsysmax = system frequency (freq sys )/2 system frequency (freq sys ) = oscclk divf + 1 x (mf + 1) (2 dfnh or 2 dfnl + 1 ) 2 2 x freq 50 freqsysmax 2 dfnh () or 2 dfnl 1 + () ------------------------------------------------------- - 1 ebdf 1 + -------------------------- = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-12 figure 8-7 clocks timing for dfnh = 1 (or dfnl = 0) 8.6.2 clkout clkout has the same frequency as the general system clock (gclk2_50). unlike the main system clock gclk1/gclk2 howe ver, clkout (and gclk2_50) repre- sents the external bus clock, and thus will be one-half of the main system clock if the external bus is running at half speed (ebdf = 0b01). the clkout frequency defaults to vco/2. clkout can drive full- or half-strength or be disabled. the drive strength is controlled in the system clock and reset- control register (sccr). disabling or de- creasing the strength of clkout can reduce power consumption, noise, and electro- magnetic interference on the printed circuit board. when the pll is acquiring lock, the clkout signal is disabled and remains in the low state (provided that bucs = 0). 8.6.3 engineering clock engclk is an output clock with a 50% duty cycle. its frequency defaults to vco/128 1 , which is one-sixtyfourth of the main system frequency. engclk frequency can be pro- grammed to the main system frequency divided by a factor from one to 64, as con- trolled by the engdiv [0:5] bits in the sccr. engclk can drive full- or half-strength or be disabled (remaining in the high state). the drive strength is controlled by the ee- clk[0:1] bits in the sccr. disabling engclk can reduce power consumption, noise, and electromagnetic interference on the printed circuit board. 1. mask sets prior to k62n default to vco/4. gclk1 gclk2 gclk1_50 gclk2_50 clkout gclk1_50 gclk2_50 (ebdf = 00) (ebdf = 00) (ebdf = 01) (ebdf = 01) clkout (ebdf = 00) (ebdf = 01) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-13 when the pll is acquiring lock, the engclk signal is disabled and remains in the low state (provided that bucs = 0). note skew elimination between clkout and engclk is not guaranteed. 8.7 clock source switching for limp mode support, clock source switching is supported. if for any reason the clock source for the chip is not functioning, the user has the option to switch the system clock to the backup clock ring oscillator, buclk. this circuit consists of a loss-of-clock detector, which sets the locs status bit and locss sticky bit in the plprcr. if the lme bit in the sccr is set, whenever locs is asserted the clock logic switches the system clock automatically to buclk and as- serts hard reset to the chip. switching the system clock to buclk is also possible by software setting the stbuc bit in sccr. switching from limp mode to normal system operation is accomplished by clearing stbuc and locss bits. this operation also asserts hard reset to the chip. at hreset assertion, if the pll output clo ck is not valid, the buclk will be selected until software clears locs s bit in sccr. at hreset assertion, if the pll output clock is valid, the system will switch to oscillator/external cl ock. if during hreset the pll loses lock or the clock frequency becomes slower than the required value, the system will switch to the buclk. after hreset negation, the pll lock condition does not effect the system clock source selection. if the lme bit is clear, the switch to the backup clock is disabled and assertion of st- buc bit is ignored. if the chip is in limp mode, clearing the lme bit switches the system to normal operation and asserts hard reset to the chip. figure 8-8 describes the clock s witching control logic. table 8-3 summarizes the sta- tus and control for each state. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-14 figure 8-8 clock s ource flow chart notes buclk_enable = (stbuc | loc) & lme lock indicates loss of lock status bit (locs) for all cases and loss of clock sticky bit (locss) when state 3 is active. when buclk_enable is changed, the chip asserts hreset to switch the system clock to buclk or pll. at poreset negation, if the pll is not locked, the loss-of-clock sticky bit (locss) is asserted, and the chip should operate with bu- clk. hreset_b = 1 b u clk_en a ble = 1 a sser t hr es e t _b buclk-enable = 1 & hreset_b = 0 lme = 1 poreset_b = 0 1,buclk 2,buclk 5, osc poreset_b = 1 lme = 1 3,buclk 4,osc 6,bulck poreset_b = 0 hresert_b = 0 hreset_b = 1 bu c lk_en a ble = 1 hres e t _b = 1 b u c l k _ e n a b l e = 0 h r e s e t _ b = 1 else hreset_b = 0 locs lme = 0 buclk_enable = 0 & hreset_b = 0 else buclk_enable=0 & hreset_b=0 buclk_enable = 1 & hreset_b = 0 else f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-15 the switching from state three to state four is accomplished by clear- ing the stbuc and locss bits. if the switching is done when the pll is not locked, the system clock will not oscillate un til lock condi- tion is met. the default value of the lme bit is determined by modck[1:3] during assertion of the poreset line. the configuration modes are shown in table 8-1 . 8.8 low-power modes the lpm and other bits in the plprcr are encoded to provide one normal operating mode and four low-power modes. in no rmal and doze modes the system can be in high state with frequency defined by the dfnh bits, or in the low state with frequency defined by the dfnl bits. the normal-high operating mode is the state out of reset. this is also the state of the bits after the low-power mode exit signal arrives. there are four low-power modes:  doze mode  sleep mode  deep-sleep mode  power-down mode 8.8.1 entering a low-power mode low-power modes are enabled by setting the pow bit in the msr and clearing the lpml (low-power mode lock) bit in the plprcr. once enabled, a low-power mode is entered by setting the lpm bits to the appropriate value. this can be done only in one of the normal modes. the user cannot change the lpm or csrc bits when the mcu is in doze mode. table 8-6 summarizes the control bit descriptions for the different clock power modes. table 8-3 status of clock source state poreset hreset lme locs (status) locss (sticky) stbuc bucs chip clock source 1 0 0 1 0 0 0 1 buclk 2 1 0 1 0/1 0 0 1 buclk 3 1 notes: 1. at least one of the two bits, locss or bucs, must be asserted (one) in this state. 2. x = don?t care. 111 x 2 0/1 0/1 1 buclk 41 00/10 x 2 00oscillator 51 10/10 x 2 00oscillator 6 1 0 1 0/1 1 0/1 1 buclk f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-16 8.8.2 power mode descriptions table 8-5 describes the power consumption, clock frequency, and chip functionality for each power mode. 8.8.3 exiting from low-power modes exiting from low-power modes occurs through an asynchronous interrupt or a synchro- nous interrupt generated by the memory controller. any enabled asynchronous inter- rupt clears the lpm bits but does not change the plprcr[csrc] bit. the exit from normal-low, doze-high, and low modes and sleep mode to normal-high mode is accomplished with the asynchronous interrupt. the sources of the asynchro- nous interrupt are:  asynchronous wake-up interrupt from the interrupt controller  rtc, pit, or time base interrupts (if enabled)  decrementer exception the system response to asynchronous interrup ts is fast. the wake-up time from nor- mal-low, doze-high, doze-low, and sleep mode due to an asynchronous interrupt or table 8-4 power mode control bit descriptions power mode lpm[0:1] csrc texps normal-high 00 0 x normal-low (?gear?) 00 1 x doze-high 01 0 x doze-low 01 1 x sleep 10 x x deep-sleep 11 x 1 power-down 11 x 0 table 8-5 power mode descriptions operation mode spll clocks functionality normal-high active full frequency 2 dfnh full functions not in use are shut off normal-low (?gear?) active full frequency 2 dfnl+1 doze-high active full frequency 2 dfnh enabled: rtc, pit, tb and dec, memory controller disabled: extended core (rcpu, bbc, fpu) doze-low active full frequency 2 dfnl+1 sleep active not active enabled: rtc, pit, tb and dec deep-sleep not active not active power-down not active not active vddsram not active not active sram?s data retention f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-17 decrementer exception is only three to fo ur clock cycles of maximum system frequen- cy. in 40-mhz systems, this wake-up require s 75 to 100 ns. the asynchronous wake- up interrupt from the interrupt controller is level sensitive one. it will therefore be ne- gated only after the reset of interrupt cause in the interrupt controller. the timers (rtc, pit, time base, or decreme nter) interrupts indication set status bits in the plprcr (tmist). the clock module considers this interrupt to be pending asynchronous interrupt as long as the tmist is set. the tmist status bit should be cleared before entering any low-power mode. table 8-7 summarizes wake-up operation for each of the low-power modes. 8.8.3.1 exiting from normal-low mode in normal mode (as we ll as doze mode), if the pl prcr[csrc] bit is set, the system toggles between low frequency (defined by plprcr[dfnl]) and high frequency (de- fined by plprcr[dfnh]. the system switches from normal-low mo de to normal-high mode if either of the following conditions is met:  an interrupt is pending from the interrupt controller; or  the msr[pow] bit is cleared (power management is disabled). when neither of these conditions are met, the plprcr[csrc] bit is set, and the asyn- chronous interrupt status bits are rese t, the system returns to normal-low mode. 8.8.3.2 exiting from doze mode the system changes from doze mode to normal-high mode whenever an interrupt is pending from the interrupt controller. 8.8.3.3 exiting from deep-sleep mode the system switches from deep-sleep mode to normal-high mode if any of the follow- ing conditions is met: table 8-6 power mode wake-up operation operation mode wake-up method return time from wake-up event to normal-high normal-low (?gear?) software or interrupt asynchronous interrupts: 3-4 maximum system cycles synchronous interrupts: 3-4 actual system cycles doze-high interrupt doze-low interrupt sleep interrupt 3-4 maximum system clocks deep-sleep interrupt < 500 oscillator cycles 125 sec ? 4 mhz 25 sec ? 20 mhz power-down interrupt < 500 oscillator cycles + power supply wake-up v ddsram external power-on sequence f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-18  an interrupt is pending from the interrupt controller  an interrupt is requested by the rtc, pit, or time base  a decrementer exception in deep-sleep mode the pll is disabled. the wake-up time from this mode is up to 500 pll input frequency clocks. in one-to-one mo de the wake-up time may be up to 100 pll input frequency clocks. for a pll input frequency of 4 mhz, the wake-up time is less than 125 s. 8.8.3.4 exiting from power-down mode exit from power-down mode is accomplished through hard reset. external logic should assert hreset in response to the texps bit being set and texp pin being asserted. the texps bit is set by an enabled rtc, pit, time base, or decrementer interrupt. the hard reset should be asserted for no longer than the time it takes for the power supply to wake-up in addition to the pll lock time. when the texps bit is cleared (and the texp signal is negated), assertion of hard reset sets the bit, causes the pin to be asserted, and causes an exit from power-down low-power mode. refer to 8.9.3 keep alive power for more information. 8.8.3.5 low-power modes flow figure 8-9 shows the flow among the different power modes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-19 figure 8-9 MPC555 / mpc556 low-power modes flow diagram normal high mode lpm = 00 csrc = 0/1 normal-low lpm = 00, csrc = 1 doze-low lpm = 01, csrc = 1 doze-high lpm = 01, csrc = 0/1 sleep mode lpm = 10, csrc = 0 deep-sleep mode lpm = 11, csrc = 0, power-down mode lpm = 11, csrc = 0, (msr pow +interrupt )+csrc ( (msr pow +interrupt ) ) *csrc*** interrupt software * software * software * software * software * async. wake-up or interrupt wake-up: frequency clocks wake-up: 3 - 4 sysfreq clocks 500 input software is active only in normal-high/low modes software * rtc/pit/tb/dec interrupt followed hard reset asynchronous wake-up: 3 - 4 sysfreqmax interrupts clocks texps = 1 texps = 0** texps receives the zero value by writing one. writing of zero has no effect on texps. by external hard reset software * the switch from normal-high to normal-low is enable only if the conditions to asynchronous interrupt are cleared or external hard reset *** ** * rtc/pit/tb/dec f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-20 8.9 basic power structure 8.9.1 clock unit power supply kapwr and vss power the following clock un it modules: oscillator, pitrtclk and tmbclk generation logic, timebase, decrement er, rtc, pit, system clock control register (sccr), low-power and reset-control register (plprcr), and reset status register (rsr). all other circuits are powered by the normal supply pins, vddi, vddl, vddh and vss. the power supply for each block is listed in table 8-7 . the following are the relations between different power supplies:  vddl = vddi = vddsyn = vddf = 3.3 v 10%  kapwr vddl ? 0.2 v (during normal operation)  vddsram vddl ? 0.3 v (during normal operation)  vddsram 1.4 v (during standby operation)  vpp vddl ? 0.3 v, but vpp ? vddl < 4.0 volts 8.9.2 chip power structure the MPC555 / mpc556 provides a wide range of possibilities for power supply con- nections. figure 8-10 illustrates the different power supply sources for each of the ba- sic units on the chip. 8.9.2.1 vddl the i/o buffers and logic are fed by a 3.3-v power supply. 8.9.2.2 vddi vddi powers the internal logic of the MPC555 / mpc556, nominally 3.3 v. table 8-7 clock unit power supply circuit power supply clkout spll (digital), system low-power control internal logic clock drivers vddl/vddi spll (analog) vddsyn main oscillator reset machine limp mode mechanism register control sccr, pllrcr and rsr rtc, pit, tb, and dec kapwr sram, vddsram detector, vsrmcr vddsram f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-21 8.9.2.3 vddsyn, vsssyn the charge pump and the vco of the spll are fed by a separate 3.3-v power supply (vddsyn) in order to improve noise immunity and achieve a high stability in its output frequency. vsssyn provides an isolated ground reference for the pll. 8.9.2.4 kapwr the oscillator, time base counter, decremen ter, periodic interrupt timer and the real- time clock are fed by the kapwr rail. this allows the external power supply unit to disconnect all other sub-units of the mcu in low-power deep-sleep mode. the texp pin (fed by the same rail) can be used by the external power supply unit to switch be- tween sources. the irq [6:7]/modck[2:3], irq [5]/modck1, xtal, extal, ext- clk, poreset , hreset , sreset , and rstconf /texp input pins are powered by kapwr. circuits, including pull-up resisters, driving these inputs should be pow- ered by kapwr. 8.9.2.5 vdda, vssa vdda supplies power to the analog subsystems of the qadc_a and qadc_b mod- ules; it is nominally 5.0 v. vdda is the ground reference for the analog subsystems. 8.9.2.6 vpp vpp supplies the programming and erase voltage for the cmf flash modules. it is nominally 5.0 v for program or erase operations and can be lowered to a nominal 3.3 v for read operations. 8.9.2.7 vddf, vssf vddf provides internal power to the cmf flash module; it should be a nominal 3.3 v. vssf provides an isolated ground for the cmf flash module. 8.9.2.8 vddh vddh provides power for the 5-v i/o operations. it is a nominal 5.0 v. 8.9.2.9 vddsram vddsram supplies power to the 26-kbyte sram module and the dptram. it can be used to keep the contents on the sram stable while the rest of the MPC555 / mpc556 is powered down for standby operation. 8.9.2.10 vss vss provides the ground reference for the MPC555 / mpc556. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-22 figure 8-10 basic powe r supply configuration 8.9.3 keep alive power 8.9.3.1 keep alive power configuration figure 8-11 illustrates an example of a switching scheme for an optimized low-power system. sw1 and sw2 can be unified in onl y one switch if vddsyn and vddi/vddl are supplied by the same source. clock control pll pit, rtc, tb, and dec internal logic vddl i / o vddi vddsyn kapwr texp oscillator, vddsram vddi vddh vpp vddf flash sram f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-23 figure 8-11 external power supply scheme the MPC555 / mpc556 asserts the texp signal, if enabled, when the rtc or tb time value matches the value programmed in the associated alarm register or when the pit or dec value reaches zero. the texp signal is negated when the texps status bit is written to one. the kapwr power supply feeds the main cr ystal oscillator (oscm). the condition for the main crystal oscillator stability is that the power supply value changes slowly. the maximum slope must be less than 5 mv per oscillation cycle ( > 200-300/freq oscm ). 8.9.3.2 keep alive power registers lock mechanism the usiu timer, clocks, reset, power, decrementer, and time base registers are pow- ered by the kapwr supply. when the main power supply is disconnected after power- down mode is entered, the value stored in any of these registers is preserved. if pow- er-down mode is not entered before power disconnect, there is a chance of data loss in these registers. to minimize the possibility of data loss, the MPC555 / mpc556 in- cludes a key mechanism that ensures data re tention as long as a register is locked. while a register is locked, writes to this register are ignored. each of the registers in the kapwr region have a key that can be in one of two states: open or locked. at power-on reset the following keys are locked: rtc, rtsec, rt- main power backup vddsyn 3.3 v vdd kapwr supply MPC555 switch logic texp 3 v - 3.3 v sw1 sw2 power supply vddsram 3.3 v power supply vddsram o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-24 cal, and rtcsc. all other registers are unlocked. each key has an address associ- ated with it in the internal memory map. a write of 0x55ccaa33 to the associated key register changes the key to the open state. a write of any other data to this location changes the key to the locked state. note a read of a key register may be interpreted as a write of a lock value and may lock the associated kapwr register. the key registers are write-only. a read of the key register has undefined side effects and may be interpreted as a write that locks the associated register. table 8-8 lists the registers powered by kapwr and the associated key registers. table 8-8 kapwr registers and key registers kapwr register associated key register address or spr number register address register 0x2f c200 time base status and control (tbscr) see table 6-16 for bit descriptions. 0x2f c300 time base status and control key (tbscrk) 0x2f c204 time base reference 0 (tbref0) see 6.13.4.3 time base reference reg- isters for bit descriptions. 0x2f c304 time base reference 0 key (tbref0k) 0x2f c208 time base reference 1 (tbref1) see 6.13.4.3 time base reference reg- isters for bit descriptions. 0x2f c308 time base reference 1 key (tbref1k) 0x2f c220 real time clock status and control (rtcsc) see table 6-17 for bit descriptions. 0x2f c320 real time clock status and control key (rtcsck) 0x2f c224 real time clock (rtc) see 6.13.4.6 real-time clock register (rtc) for bit descriptions. 0x2f c324 real time clock key (rtck) 0x2f c228 real time alarm seconds (rtsec) reserved 0x2f c328 real time alarm seconds key (rtseck) 0x2f c22c real time alarm (rtcal) see 6.13.4.7 real-time clock alarm register (rtcal) for bit descriptions. 0x2f c32c real time alarm key (rtcalk) 0x2f c240 pit status and control (piscr) see table 6-18 for bit descriptions. 0x2f c340 pit status and control key (piscrk) 0x2f c244 pit count (pitc) see table 6-19 for bit descriptions. 0x2f c344 pit count key (pitck) 0x2f c280 system clock control register (sccr) see table 8-9 for bit descriptions. 0x2f c380 system clock control key (sccrk) 0x2f c284 pll low-power and reset-control register (plprcr) see table 8-10 for bit descriptions. 0x2f c384 pll low-power and reset-control regis- ter key (plprcrk) 0x2f c288 reset status register (rsr) see table 7-3 for bit descriptions. 0x2f c388 reset status register key (rsrk) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-25 figure 8-12 illustrates the process of locking or unlocking a register powered by kap- wr. figure 8-12 keep alive register key state diagram 8.10 vddsram supply failure detection a special circuit for vddsram supply failure detection is provided. in the case of sup- ply failure detection, the dedicated sticky bi ts lvsrs in the vsrmcr register are as- serted. software can read or clear these bits. the user should enable the detector and then clear these bits. if the user reads any of the lvsr bits as one, then a power failure of vddsram has occurred. the circuit is capable of detecting supply failure below 2.6 v. also, enable/disable control bit for the vddsram detector may be used to discon- nect the circuit and save the detector power consumption. 8.11 power up/down sequencing figure 8-13 and figure 8-14 detail the power-up sequencing for MPC555 / mpc556 during normal operation. note that for each of the conditions detailing the voltage re- lationships the absolute bounds of the minimum and maximum voltage supply cannot be violated, i.e. the value of vddl cannot fall below 3.0 v or exceed 3.6 v and the value of vddh cannot fall below 4.5 v or exceed 5.5 v for normal operation. further information detailing the functionality of the vpp signal for flash program and erase is outlined in 19.9.2 flash program/er ase voltage conditioning . power consump- tion during power up sequencing can not be specified prior to evaluation and charac- spr 22 decrementer see 3.9.5 decrementer register (dec) for bit descriptions. 0x2f c30c time base and decrementer key (tbk) spr 268, 269, 284, 285, time base see table 3-11 and table 3-14 for bit de- scriptions. table 8-8 kapwr registers a nd key registers (continued) kapwr register associated key register address or spr number register address register open locked write to the key 0x55ccaa33 write to the key other value power on reset (valid for rtc, rtsec, rtcal and rtcsc) (valid for other registers) power on reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-26 terization of production silicon. the goal is to keep the power consumption during power up sequencing below the operating power consumption. during the power down sequence the user needs to assert poreset while vddi and vddl are at a voltage equal or greater to 3 v. below this voltage the power supply chip can be turned off. if the turn off voltage of the power supply chip is greater than 0.74 v for the 3-v supply and greater than 0.8 v for the 5-v supply, then the circuitry inside the MPC555 / mpc556 will act as a load to the respective supply and will dis- charge the supply line down to these values. since the 3-v logic represents a larger load to the supply chip, the 3-v supply line will decay faster than the 5-v supply line. figure 8-13 no standby, no kapwr, all system power on/off power on power off operating see note 1. see note 2. vddh vdd, nvvl, qvddl kaprr vddsram vdda, vrh vddsyn vflash (5 v) poreset hreset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-27 figure 8-14 standby and kapwr, other power-on/off note the following notes apply to figure 8-13 and figure 8-14 above: 1. vddh vddl - 0.35 v (0.5 v max. at temperature extremes) vpp vddh + 0.5 v and vpp vddl - 0.35 v (the delta vpp - vddl must be 3.6 v during power on or off) vdda can lag vddh, and vddsyn can lag vddl, but both must be at a valid level before resets are negated. 2. if keep alive functions are not used, then when system power is on: kapwr = vddsram = vddl 0.35 v 3. if keep alive functions are used, then kapwr = vddsram = vddl = 3.3 v 0.35 v when system power is on vddsram 1.8 v and optionally kapwr = 3.3 v 0.3 v when system power is off normal system power is defined as vddl = vddi = vddf = vddsyn = vpp = vddsram = kapwr = 3.3 0.3 v and vdda = vddh = 5.0 0.5 v power on power off operating vddh vdd, nvvl, qvddl kaprr vddsram vdda, vrh vddsyn vflash (5 v) poreset hreset no battery connect battery no battery f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-28 flash programming requirements are th e same as normal system power, ex- cept vpp = 5.0 0.25 v 4. do not hold the 3-v supplies at ground while vddh/vdda is ramping to 5 v. 5. if 5 v is applied before the 3-v supply, all 5-v outputs will be in indeterminate states until the 3-v supply reaches a level that allows reset to be distributed throughout the device 8.12 clocks unit programming model 8.12.1 system clock control register (sccr) the spll has a 32-bit control register, s ccr, which is powered by keep-alive power. notes: 1. the hard reset value is a reset configuration word value, extracted from the indicated internal data bus lines. refer to 7.5.2 hard reset configuration word . u = unaffected by reset 2. rtdiv will be 0 if modck[1:3] = 0b000 3. eq2 = modck1 4. eq3 = (modck1 & modck2 & modck3 ) | (modck1 & modck2 & modck3) | (modck1 & modck2 & modck3). see table 8-1 . 5. on mask sets prior to k62n, engdiv defaults to 0b000001. sccr ? system clock control register 0x2f c280 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 dbct com dcslr mfpdl lpml tbs rtdiv 2 stbuc re- served prqen rtsel bucs ebdf lme power-on reset: 1 0 id2 1 0 0 0 0 1 2 0 0 1 eq2 3 id[13:14] 1 eq3 4 hard reset: u 0 id2 1 u 0 0 u u u 0 1 u u id[13:14] 1 u 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 eeclk engdiv 5 ? dfnl ? dfnh power-on reset: 0 0 1 1 1 1 1 1 0 0 0 0 0 0 0 0 hard reset: u u u u u u u u 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-29 table 8-9 sccr bit descriptions bit(s) name description 0dbct disable backup clock for timers. the dbct bit controls the timers clock source while the chip is in limp mode. if dbct is set, the timers clock (tbclk, rtclk) source will not be the backup clock, even if the system clock source is the backup clock ring oscillator. the real-time clock source will be extal or extclk according to rtsel bit (see description in bit 11 below), and the time base clocks source will be determined according to tbs bit and modck1. 0 = if the chip is in limp mode, the timer clock source is the backup (limp) clock 1 = the timer clock source is either the external clock or the crystal (depending on the current clock mode selected) 1:2 com clock output mode. these bits control the output buffer strength of the clkout and external bus pins. these bits can be dynamically changed without generating spikes on the clkout and external bus pins. if cklout is not connected to external circuits, set both bits (dis- abling clkout) to minimize noise and power dissipation. com1 is determined by the hard reset configuration word. 00 = clock output enabled full-strength output buffer, bus pins full drive 01 = clock output enabled half-strength output buffer, bus pins reduced drive 10 = clock output disabled, bus pins full drive 11 = clock output disabled, bus pins reduced drive 3 dcslr disable clock switching at loss of lock during reset. when dcslr is clear and limp mode is enabled, the chip will switch automaticaly to the backup clock if the pll losses lock during hreset . when dcslr is asserted, a pll loss-of-lock event does not cause clock switch- ing. if hreset is asserted and dcslr is set, the chip will not negate hreset until the pll aquires lock. 0 = enable clock switching if the pll loses lock during reset 1 = disable clock switching if the pll loses lock during reset 4mfpdl mf and pre-divider lock. setting this control bit disables writes to the mf and divf bits. this helps prevent runaway software from changing the vco frequency and causing the spll to lose lock. in addition, to protect against hardware interference, a hardware reset will be as- serted if these fields are changed while lpml is asserted. this bit is writable once after pow- er-on reset. 0 = mf and divf fields are writable 1 = mf and divf fields are locked 5lpml lpm lock. setting this control bit disables writes to the lpm and csrc control bits. in addi- tion, for added protection, a hardware reset is asserted if any mode is entered other than nor- mal-high mode. this protects against runaway software causing the mcu to enter low-power modes. (the msr[pow] bit provides additional protection). lpml is writable once after power-on reset. 0 = lpm and csrc bits are writable 1 = lpm and csrc bits are locked and hard reset will occur if the mcu is not in normal-high mode 6tbs time base source. note that when the chip is operating in limp mode (bucs = 1), tbs is ignored, and the backup clock is the time base clock source. 0 = source is oscclk divided by either four or 16 1 = source is system clock divided by 16 7rtdiv rtc (and pit) clock divider. at power-on reset this bit is cleared if modck[1:3] are all low; otherwise the bit is set. 0 = rtc and pit clock divided by four 1 = rtc and pit clock divided by 256 8stbuc switch to backup clock control. when software sets this bit, the system clock is switched to the on-chip backup clock ring oscillator, and the chip undergoes a hard reset. the stbuc bit is ignored if lme is cleared. 0 = do not switch to the backup clock ring oscillator 1 = switch to backup clock ring oscillator 9?reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-30 10 prqen power management request enable 0 = remains in the lower frequency (defined by dfnl) even if the power management bit in the msr is reset (normal operational mode) or if there is a pending interrupt from the interrupt controller 1 = switches to high frequency (defined by dfnh) when the power management bit in the msr is reset (normal operational mode) or there is a pending interrupt from the interrupt controller 11 rtsel rtc circuit input source select. at power-on reset rtsel receives the value of the modck1 bit. note that if the chip is operating in limp mode (bucs = 0), the rtsel bit is ignored, and the backup clock is the clock source for the rt and pit clocks 0 = oscm clock is selected as input to rtc and pit 1 = extclk clock is selected as the rtc and pit clock source 12 bucs backup clock status. this status bit indicates the current system clock source. when loss of clock is detected and the lme bit is set, the clock source is the backup clock and this bit is set. when the user sets the stbuc bit and lme bit is set, the system switches to the backup clock and bucs is set. 0 = system clock is not the backup clock 1 = system clock is the backup clock 13:14 ebdf external bus division factor. these bits define the frequency division factor between (gclk1 and gclk2) and (gclk1_50 and gclk2_50). clkout is similar to gclk2_50. the gclk2_50 and gckl1_50 are used by the external bus interface and memory controller in order to interface to the external system. the ebdf bits are initialized during hard reset us- ing the hard reset configuration mechanism. 00 = clkout is gckl2 divided by 1 01 = clkout is gckl2 divided by 2 1x = reserved 15 lme limp mode enable. when lme is set, the loss-of-clock monitor is enabled and any detection of loss of clock will switch the system clock automatically to backup clock. it is also possible to switch to the backup clock by setting the stbuc bit. if lme is cleared, the option of using limp mode is disabled. the loss of clock detector is not active, and any write to stbuc is ignored. the lme bit is writable once, by software, after power-on reset, when the system clock is not backup clock (bucs = 0). during power-on reset, the value of lme is determined by the modck[1:3] bits. (refer to table 8-1 .) 0 = limp mode disabled 1 = limp mode enabled 16:17 eeclk enable engineering clock. this field controls the output buffer strength of the engclk pin. when both bits are set the engclk pin is held in the high state. these bits can be dynam- ically changed without generating spikes on the engclk pin. if engclk is not connected to external circuits, set both bits (disabling engclk) to minimize noise and power dissipa- tion. for measurement purposes the backup clock (buclk) can be driven externally on the engclk pin. 00 = engineering clock enabled, full-strength output buffer 01 = engineering clock enabled, half-strength output buffer 10 = buclk is the output on the engclk full-strength output buffer 11 = engineering clock disabled 18:23 engdiv engineering clock division factor. these bits define the frequency division factor between vco/2 and engclk. the divider ratio is engdiv+1. division factor can be from 1 (engdiv = 0b000000) to 64 (engdiv = 0b111111). these bits can be read and written at any time. they are not affected by hard reset but are cleared during power-on reset. note: if the engineering clock division factor is not a power of two, synchronization between the system and engclk is not guaranteed. note: the default (power on reset) value of engdiv will be 0b111111 on all mask sets after k62n. the default for previous mask sets (j76n, k02a, and k83h) is 0b000001. table 8-9 sccr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-31 8.12.2 pll, low-power, and reset-control register (plprcr) the pll, low-power, and reset-control register (plprcr) is a 32-bit register powered by the keep alive power supply. 24 ? reserved 25:27 dfnl division factor low frequency. the user can load these bits with the desired divide value and the csrc bit to change the frequency. changing the value of these bits does not result in a loss of lock condition. these bits are cleared by power-on or hard reset. refer to 8.6.1 gen- eral system clocks and figure 8-5 for details on using these bits. 000 = divide by 2 001 = divide by 4 010 = divide by 8 011 = divide by 16 100 = divide by 32 101 = divide by 64 110 = reserved 111 = divide by 256 28 ? reserved 29:31 dfnh division factor high frequency. these bits determine the general system clock frequency dur- ing normal mode. changing the value of these bits does not result in a loss of lock condition. these bits are cleared by power-on or hard reset. the user can load these bits at any time to change the general system clock rate. note that the gclks generated by this division fac- tor are not 50% duty cycle (i.e. clkout). 000 = divide by 1 001 = divide by 2 010 = divide by 4 011 = divide by 8 100 = divide by 16 101 = divide by 32 110 = divide by 64 111 = reserved table 8-9 sccr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-32 u = unaffected by reset plprcr ? pll, low-power, and reset-control register 0x2f c284 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 mf re- serve d locs loc- ss spls power-on reset: 0 or 4 0 0 0 0 hard reset: u u u u u u u u u u u u u u u u 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 spls s texp s re- serve d tmist re- serve d csrc lpm csr lol- re re- serve d divf power-on reset: 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 hard reset: u 1 u 0 u 0 0 0 u u u u u u u table 8-10 plprcr bit descriptions bit(s) name description 0:11 mf multiplication factor bits. the output of the vco is divided to generate the feedback signal to the phase comparator. the mf bits control the value of the divider in the spll feedback loop. the phase comparator determines the phase shift between the feedback signal and the reference clock. this difference results in either an increase or decrease in the vco out- put frequency. the mf bits can be read and written at any time. however, this field can be write-protected by setting the mf and pre-divider lock (mfpdl) bit in the sccr. changing the mf bits caus- es the spll to lose lock. also, the mf field should not be modified when entering or exiting from low power mode (lpm change), or when back-up clock is active. the normal reset value for the dfnh bits is zero (divide by one). when the pll is operating in one-to-one mode, the multiplication factor is set to x1 (mf = 0). 12 ? reserved 13 locs loss of clock status. when the oscillator or external clock source is not at the minimum fre- quency, the loss-of-clock circuit asserts the locs bit. this bit is cleared when the oscillator or external clock source is functioning normally. this bit is reset only on power-on reset. writes to this bit have no effect. 0 = no loss of oscillator is currently detected 1 = loss of oscillator is currently detected 14 locss loss of clock sticky. if, after negation of poreset , the loss-of-clock circuit detects that the oscillator or external clock source is not at a minimum frequency, the locss bit is set. loc- ss remains set until software clears it by writing a one to it. a write of zero has no effect on this bit. the reset value is determined during hard reset. the stbuc bit will be set provided the pll lock condition is not met when hreset is asserted, and cleared if the pll is locked when hreset is asserted. 0 = no loss of oscillator has been detected 1 = loss of oscillator has been detected f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-33 15 spls system pll lock status bit 0 = spll is currently not locked 1 = spll is currently locked 16 splss spll lock status sticky bit. an out-of-lock sets the splss bit. the bit remains set until soft- ware clears it by writing a one to it. a write of zero has no effect on this bit. the bit is cleared at power-on reset. this bit is not affected due to a software initiated loss-of-lock (mf change and entering deep-sleep or power-down mode). the splss bit is not affected by hard reset. 0 = spll has remained in lock 1 = spll has gone out of lock at least once (not due to software-initiated loss of lock) 17 texps timer expired status bit. this bit controls whether the chip negates the texp pin in deep- sleep mode, thus enabling external circuitry to switch off the vdd (power-down mode). when lpm = 11, csrc = 0, and texps is high, the texp pin remains asserted. when lpm = 11, csrc = 0, and texps is low, the texps pin is negated. to enable automatic wake-up texps is asserted when one of the following occurs:  the pit is expired  the real-time clock alarm is set  the time base clock alarm is set  the decrementer exception occurs the bit remains set until software clears it by writing a one to it. a write of zero has no effect on this bit. texps is set by power-on or hard reset. 0 = texp is negated in deep-sleep mode 1 = texp pin remains asserted always 18 ? reserved 19 tmist timers interrupt status.tmist is set when an interrupt from the rtc, pit, tb or dec occurs. the tmist bit is cleared by writing a one to it. writing a zero has no effect on this bit. the system clock frequency remains at its high frequency value (defined by dfnh) if the tmist bit is set, even if the csrc bit in the plprcr is set (dfnl enabled) and conditions to switch to normal-low mode do not exist. this bit is cleared during power-on or hard reset. 0 = no timer expired event was detected 1 = a timer expire event was detected 20 ? reserved 21 csrc clock source. this bit is cleared at hard reset. 0 = general system clock is determined by the dfnh value 1 = general system clock is determined by the dfnl value 22:23 lpm low-power mode select. these bits are encoded to provide one normal operating mode and four low-power modes. in normal and doze modes, the system can be in high state (frequen- cy determined by the dfnh bits) or low state (frequency defined by the dfnl bits). the lpm field can be write-protected by setting the lpm and csrc lock (lpml) bit in the plprcr refer to table 8-4 and table 8-5 . 24 csr checkstop reset enable. if this bit is set, then an automatic reset is generated when the rcpu signals that it has entered checkstop mode, unless debug mode was enabled at reset. if the bit is clear and debug mode is not enabled, then the usiu will not do anything upon receiving the checkstop signal from the rcpu. if debug mode is enabled, then the part en- ters debug mode upon entering checkstop mode. in this case, the rcpu will not assert the checkstop signal to the reset circuitry. this bit is writable once after soft reset. 0 = no reset will occur when checkstop is asserted 1 = reset will occur when checkstop is asserted 25 lolre loss of lock reset enable 0 = loss of lock does not cause hreset assertion 1 = loss of lock causes hreset assertion note: if limp mode is enabled, use the colir feature instead of setting the lolre bit. see 8.12.3 change of lock interrupt register (colir) . table 8-10 plprcr bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-34 8.12.3 change of lock in terrupt register (colir) the colir is 16-bit read/write register. it controls the change of lock interrupt gener- ation, and is used for reporting a loss of lock interrupt source. it contains the interrupt request level and the interrupt status bit. this register is readable and writable at any time. a status bit is cleared by writing a one (writing a zero does not affect a status bit?s value). the colir is memory mapped into the MPC555 / mpc556 usiu register map. u = unaffected by reset 8.12.4 vddsram control register (vsrmcr) this register contains control bits for enabling or disabling the vddsram supply de- tection circuit. there are also four bits that indicate the failure detection. all four bits have the same function and are required to improve the detection capability in extreme cases. 26 ? reserved 27:31 divf the divf bits control the value of the pre-divider in the spll circuit. the divf bits can be read and written at any time. however, the divf field can be write-protected by setting the mf and pre-divider lock (mfpdl) bit in the sccr. changing the divf bits causes the spll to lose lock. colir ? change of lock interrupt register 0x2f c28c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 colirq colis re- served colie reserved reset: 0 0 0 0 0 0 0 0 0 0 u u u u u u table 8-11 colir bit descriptions bit(s) name description 0:7 colirq change of lock interrupt request level. these bits determine the interrupt priority level of the change of lock. to specify certain level, the appropriate one of these bits should be set. 8colis if set (?one?), the bit indicates that a change in the pll lock status was detected. the pll was locked and lost lock, or the pll was unlocked and got locked. the bit should be cleared by writing a one. 9?reserved 10 colie change of lock interrupt enable. if colie bit is asserted, an interrupt will generate when the colis bit is asserted. 0 = change of lock interrupt disable 1 = change of lock interrupt enable 10:15 ? reserved table 8-10 plprcr bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-35 u = unaffected by reset vsrmcr ? vddsram control register 0x2f c290 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 0 lvsrs vs- rde reserved hard reset: u u u u 0 table 8-12 vsrmcr bit descriptions bit(s) name description 0?reserved 1:4 lvsrs loss of vddsram sticky. these status bits indicate whether a vddsram supply failure oc- curred. in addition, when the power is turned on for the first time, vddsram rises and these bits are set. the lvsrs bits are cleared by writing them to ones. a write of zero has no effect on these bits. 0 = no vddsram supply failure was detected 1 = vddsram supply failure was detected 5 vsrde vddsram detector disable. 0 = vddsram detection circuit is enabled 1 = vddsram detection circuit is disabled 6:15 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clocks and power control motorola user?s manual rev. 15 october 2000 8-36 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-1 section 9 external bus interface the MPC555 / mpc556 bus is a synchronous, burstable bus. signals driven on this bus are required to make the setup and hold time relative to the bus clock?s rising edge. the bus has the ability to support mu ltiple masters. the MPC555 / mpc556 ar- chitecture supports byte, half-word, and word operands allowing access to 8-, 16-, and 32-bit data ports through the use of synchronous cycles controlled by the size outputs (tsiz0, tsiz1). for accesses to 16- and 8-bit ports, the slave must be controlled by the memory controller. 9.1 features the external bus interface features are listed below.  32-bit address bus with transfer size indication (only 24 available on pins)  32-bit data bus  bus arbitration logic on-chip supports an external master  internal chip-select and wait state generation to support peripheral or static mem- ory devices through the memory controller  supports various memory (sram, eepr om) types: synchronous and asynchro- nous, burstable and non-burstable  supports non-wrap bursts  flash rom programming support  compatible with powerpc architecture  easy to interface to slave devices  bus is synchronous (all signals are referenced to rising edge of bus clock)  bus can operate at the same frequency as the MPC555 / mpc556 or half the fre- quency. 9.2 bus transfer signals the bus transfers information between the MPC555 / mpc556 and external memory of a peripheral device. external devices can accept or provide 8, 16, and 32 bits in par- allel and must follow the handshake protocol described in this section. the maximum number of bits accepted or provided during a bus transfer is defined as the port width. the MPC555 / mpc556 contains an address bus that specifies the address for the transfer and a data bus that transfers the data. control signals indicate the beginning and type of the cycle, as well as the addre ss space and size of the transfer. the se- lected device then controls the length of the cycle with the signal(s) used to terminate the cycle. a strobe signal for the address bus indicates the validity of the address and provides timing information for the data. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-2 the MPC555 / mpc556 bus is synchronous. the bus and control input signals must be timed to setup and hold times relative to the rising edge of the clock. bus cycles can be completed in two clock cycles. for all inputs, the MPC555 / mpc556 latche s the level of the input during a sample window around the rising edge of the clock signal. this window is illustrated in figure 9-1 , where tsu and tho are the input setup and hold times, respectively. to ensure that an input signal is recognized on a specific falling edge of the clock, that input must be stable during the sample window. if an input makes a transition during the window time period, the level recognized by the MPC555 / mpc556 is not predictable; however, the MPC555 / mpc556 always resolves the latched level to either a logic high or low be- fore using it. in addition to meeting input setup and hold times for deterministic opera- tion, all input signals must obey the protocols described in this section. figure 9-1 input sample window 9.3 bus control signals the MPC555 / mpc556 initiates a bus cycle by driving the address, size, address type, cycle type, and read/write outputs. at the beginning of a bus cycle, tsiz0 and tsiz1 are driven with the address type signals. tsiz0 and tsiz1 indicate the number of bytes remaining to be transferred duri ng an operand cycle (consisting of one or more bus cycles). these signals are valid at the rising edge of the clock in which the transfer start (ts ) signal is asserted. the read/write (rd/wr ) signal determines the direction of the transfer during a bus cycle. driven at the beginning of a bus cycle, rd/wr is valid at the rising edge of the clock in which ts is asserted. the logic level of rd/wr only changes when a write cycle is preceded by a read cycle or vice versa. the signal may remain low for con- secutive write cycles. clock signal tho tsu sample window f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-3 figure 9-2 MPC555 / mpc556 bus signals 9.4 bus interface signal descriptions table 9-1 diatribes each signal in the bus interface unit. more detailed descriptions can be found in subsequent subsections. addr[0:31] rd/wr burst tsiz[0:1] at[0:3] sts (bi ) ts bi (sts ) kr data[0:31] ta tea bdip br bg bb cr 32 1 1 2 4 1 1 1 1 1 32 1 1 1 1 1 1 address and transfer attributes transfer start arbitration data transfer termination reservation protocol cycle rsv 1 ptr 1 retry 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-4 . table 9-1 MPC555 / mpc556 siu signals signal name pins active i/o description address and transfer attributes addr[0:31] address bus 24 (8:31) high o specifies the physical address of the bus transaction. i driven by an external bus master when it owns the ex- ternal bus. only for testing purposes. rd/wr read/write 1high o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. driven high indi- cates that a read access is in progress. driven low indicates that a write access is in progress. i driven by an external master when it owns the exter- nal bus. driven high indicates that a read access is in progress. driven low indicates that a write access is in progress. burst burst transfer 1low o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. driven low indi- cates that a burst transfer is in progress. driven high indicates that the current transfer is not a burst. i driven by an external master when it owns the exter- nal bus. driven low indicates that a burst transfer is in progress. driven high indicates that the current trans- fer is not a burst. the MPC555 / mpc556 does not support burst accesses to internal slaves. tsiz[0:1] transfer size 2high o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. specifies the data transfer size for the transaction. i driven by an external master when it owns the exter- nal bus. specifies the data transfer size for the trans- action. at[0:3] address type 3high o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. indicates addi- tional information about the address on the current transaction. i only for testing purposes. rsv reservation transfer 1low o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. indicates addi- tional information about the address on the current transaction. i only for testing purposes. ptr program trace 1high o driven by the MPC555 / mpc556 along with the ad- dress when it owns the external bus. indicates addi- tional information about the address on the current transaction. i only for testing purposes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-5 retry 1low i in the case of regular transaction, this signal is driven by the slave device to indicate that the MPC555 / mpc556 must relinquish the ownership of the bus and retry the cycle. o when an external master owns the bus and the inter- nal MPC555 / mpc556 bus initiates access to the ex- ternal bus at the same time, this signal is used to cause the external master to relinquish the bus for one clock to solve the contention. bdip burst data in progress 1low o driven by the MPC555 / mpc556 when it owns the external bus. it is part of the burst protocol. when bdip is asserted, the second beat in front of the cur- rent one is requested by the master. this signal is ne- gated prior to the end of a burst to terminate the burst data phase early. i driven by an external master when it owns the exter- nal bus. when bdip is asserted, the second beat in front of the current one is requested by the master. this signal is negated prior to the end of a burst to ter- minate the burst data phase early. the MPC555 / mpc556 does not support burst accesses to internal slaves. transfer start ts transfer start 1low o driven by the MPC555 / mpc556 when it owns the external bus. indicates the start of a transaction on the external bus. i driven by an external master when it owns the exter- nal bus. it indicates the start of a transaction on the external bus or (in show cycle mode) signals the be- ginning of an internal transaction. sts special transfer start 1lowo driven by the MPC555 / mpc556 when it owns the external bus. indicates the start of a transaction on the external bus or signals the beginning of an internal transaction in show cycle mode. reservation protocol cr cancel reservation 1lowi each powerpc cpu has its own cr signal. assertion of cr instructs the bus master to clear its reservation; some other master has touched its reserved space. this is a pulsed signal. kr kill reservation 1lowi in case of a bus cycle initiated by a stwcx instruc- tion issued by the rcpu to a non-local bus on which the storage reservation has been lost, this sig- nal is used by the non-local bus interface to backoff the cycle. refer to 9.5.9 storage reservation for de- tails. table 9-1 MPC555 / mpc556 siu sign als (continued) signal name pins active i/o description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-6 data data[0:31] data bus 32 high the data bus has the following byte lane assign- ments: data byte byte lane data[0:7] 0 data[8:15] 1 data[16:23] 2 data[24:31] 3 o driven by the MPC555 / mpc556 when it owns the external bus and it initiated a write transaction to a slave device. for single beat transactions, the byte lanes not selected for the transfer by addr[30:31] and tsiz[0:1] do not supply valid data. in addition, the MPC555 / mpc556 drives data[0:31] when an external master owns the external bus and initiated a read transaction to an internal slave mod- ule. i driven by the slave in a read transaction. for single beat transactions, the MPC555 / mpc556 does not sample byte lanes that are not selected for the trans- fer by addr[30:31] and tsiz[0:1]. in addition, an external master that owns the bus and initiated a write transaction to an internal slave mod- ule drives data[0:31]. transfer cycle termination ta transfer acknowledge 1low i driven by the slave device to which the current trans- action was addressed. indicates that the slave has re- ceived the data on the write cycle or returned data on the read cycle. if the transaction is a burst, ta should be asserted for each one of the transaction beats. o driven by the MPC555 / mpc556 when the slave de- vice is controlled by the on-chip memory controller or when an external master initiated a transaction to an internal slave module. tea transfer error acknowledge 1low i driven by the slave device to which the current trans- action was addressed. indicates that an error condi- tion has occurred during the bus cycle. o driven by the MPC555 / mpc556 when the internal bus monitor detected an erroneous bus condition, or when an external master initiated a transaction to an internal slave module and an internal error was de- tected. bi burst inhibit 1low i driven by the slave device to which the current trans- action was addressed. indicates that the current slave does not support burst mode. o driven by the MPC555 / mpc556 when the slave de- vice is controlled by the on-chip memory controller. the MPC555 / mpc556 also asserts bi for any exter- nal master burst access to internal MPC555 / mpc556 memory space. table 9-1 MPC555 / mpc556 siu sign als (continued) signal name pins active i/o description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-7 9.5 bus operations this section provides a functional descripti on of the system bus, the signals that con- trol it, and the bus cycles provided for data transfer operations. it also describes the error conditions, bus arbitration, and reset operation. the MPC555 / mpc556 generates a system clock output (clkout). this output sets the frequency of operation for the bus interface directly. internally, the MPC555 / mpc556 uses a phase-lock loop (pll) circuit to generate a master clock for all of the cpu circuitry (including the bus interface) which is phase-locked to the clkout out- put signal. all signals for the MPC555 / mpc556 bus interface are specified with respect to the rising edge of the external clkout and are guaranteed to be sampled as inputs or changed as outputs with respect to that edge. since the same clock edge is referenced for driving or sampling the bus signals, the possibility of clock skew could exist be- tween various modules in a system due to rout ing or the use of multiple clock lines. it arbitration br bus request 1low i when the internal arbiter is enabled, br assertion in- dicates that an external master is requesting the bus. o driven by the MPC555 / mpc556 when the internal arbiter is disabled and the chip is not parked . bg bus grant 1low o when the internal arbiter is enabled, the MPC555 / mpc556 asserts this signal to indicate that an exter- nal master may assume ownership of the bus and be- gin a bus transaction. the bg signal should be qualified by the master requesting the bus in order to ensure it is the bus owner: qualified bus grant = bg & ~ bb i when the internal arbiter is disabled, bg is sampled and properly qualified by the MPC555 / mpc556 when an external bus transaction is to be executed by the chip. bb bus busy 1low o when the internal arbiter is enabled, the MPC555 / mpc556 asserts this signal to indicate that it is the current owner of the bus. when the internal arbiter is disabled, the MPC555 / mpc556 asserts this signal after the external arbiter has granted the ownership of the bus to the chip and it is ready to start the transaction. i when the internal arbiter is enabled, the MPC555 / mpc556 samples this signal to get indication of when the external master ended its bus tenure (bb negat- ed). when the internal arbiter is disabled, the bb is sam- pled to properly qualify the bg line when an external bus transaction is to be executed by the chip. table 9-1 MPC555 / mpc556 siu sign als (continued) signal name pins active i/o description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-8 is the responsibility of the system to handle any such clock skew problems that could occur. 9.5.1 basic transfer protocol the basic transfer protocol defines the sequence of actions that must occur on the MPC555 / mpc556 bus to perform a complete bus transaction. a simplified scheme of the basic transfer protocol is illustrated in figure 9-3 . figure 9-3 basic transfer protocol the basic transfer protocol provides for an arbitration phase and an address and data transfer phase. the address phase specifies the address for the transaction and the transfer attributes that describe the transaction. the data phase performs the transfer of data (if any is to be transferred). the data phase may transfer a single beat of data (4 bytes or less) for nonburst operations, a 4-beat burst of data (4 x 4 bytes), an 8-beat burst of data (8 x 2 bytes) or a 16-beat burst of data (16 x 1 bytes). 9.5.2 single beat transfer during the data transfer phase, the data is transferred from master to slave (in write cycles) or from slave to master (on read cycles). during a write cycle, the master drives the data as soon as it can, but never earlier than the cycle following the address transfer phase. the master has to take into consider- ation the ?one dead clock cycle? switching be tween drivers to avoi d electrical conten- tions. the master can stop driving the data bus as soon as it samples the ta line asserted on the rising edge of the clkout. during a read cycle, the master accepts the data bus contents as valid at the rising edge of the clkout in which the ta signal is sampled/asserted. 9.5.2.1 single beat read flow the basic read cycle begins with a bus arbi tration, followed by the address transfer, then the data transfer. the handshakes are illustrated in the following flow and timing diagrams as applicable to the fixed transaction protocol. arbitration address transfer data transfer termination f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-9 figure 9-4 basic flow diagram of a single beat read cycle request bus (br ) receive bus grant (bg ) from arbiter assert bus busy (bb ) if no other master is driving bus assert transfer start (ts ) drive address and attributes receive address return data assert transfer acknowledge (ta ) receive data slave master f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-10 figure 9-5 single beat read cycle?basic timing?zero wait states clkout addr[0:31] ts br bg bb data ta rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is valid burst , bdip tsiz[0:1] o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-11 figure 9-6 single beat read cycle?basic timing?one wait state 9.5.2.2 single beat write flow the basic write cycle begins with a bus arbi tration, followed by the address transfer, then the data transfer. the handshakes are illustrated in the following flow and timing diagrams as applicable to the fixed transaction protocol. clkout addr[0:31] ts br bg bb data ta rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is valid burst , bdip tsiz[0:1] wait state o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-12 figure 9-7 basic flow diagram of a single beat write cycle master slave request bus (br ) receive bus grant (bg ) from arbiter assert bus busy (bb ) if no other master is driving bus assert transfer start (ts ) drive address and attributes drive data assert transfer acknowledge (ta ) interrupt data driving f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-13 figure 9-8 single beat basic write cycle timing, zero wait states clkout addr[0:31] ts br bg bb data ta rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is sampled burst , bdip tsiz[0:1] o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-14 figure 9-9 single beat basic write cycle timing, one wait state 9.5.2.3 single beat flow with small port size the general case of single beat transfers assumes that the external memory has a 32- bit port size. the MPC555 / mpc556 provides an effect ive mechanism for interfacing with 16-bit and 8-bit port size memories, allowing transfers to these devices when they are controlled by the internal memory controller. in this case, the MPC555 / mpc556 attempts to initiate a transfer as in the normal case. if the bus interface receives a small port size (16 or 8 bits) indication before the transfer acknowledge to the first beat (through the internal memory controller), the mcu initiates successive transactions until the completion of the data transfer. note that all the transactions initiated to complete the data transfer are considered to be part of an atomic transaction, so the mcu does not allow other unrelated master accesses clkout addr[0:31] ts br bg bb data ta rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is sampled burst , bdip tsiz[0:1] wait state o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-15 or bus arbitration to intervene between the transfers. if any of the transactions except the first is re-tried during an access to a small port, then an exception is generated to the rcpu. figure 9-10 single beat 32-bit data write cycle timing, 16 bit-port size 9.5.3 burst transfer the MPC555 / mpc556 uses non-wrapping burst transfers to access operands of up to 16 bytes (four words). a non-wrapping burst access stops accessing the external device when the word address is modulo four. the MPC555 / mpc556 begins the ac- cess by supplying a starting address that points to one of the words and requiring the memory device to sequentially drive or sample each word on the data bus. the select- clkout addr[0:1] ts br bg bb data ta rd/wr burst , bdip tsiz[0:1] 00 10 addr addr + 2 abcdefgh efghefgh sts f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-16 ed slave device must internally increm ent addr28 and addr29 (and addr30 in the case of a 16-bit port slave device, and also addr31 in the case of an 8-bit port slave device) of the supplied address for each transfer, causing the address to reach a four- word boundary, and then stop. the address and transfer attributes supplied by the MPC555 / mpc556 remain stable during the transfers. the selected device terminates each transfer by driving or sampling the word on the data bus and asserting ta . the MPC555 / mpc556 also supports burst-inhibited transfers for slave devices that are unable to support bursting. for this type of bus cycle, the selected slave device supplies or samples the first word the MPC555 / mpc556 points to and asserts the burst-inhibit signal with ta for the first transfer of the burst access. the MPC555 / mpc556 responds by terminating the burst and accessing the remainder of the 16- byte block. these remaining accesses use up to three read/write bus cycles (each one for a word) in the case of a 32-bit port width slave, up to seven read/write bus cycles in the case of a 16-bit port width slave, or up to fifteen read/write bus cycles in the case of a 8-bit port width slave. the general case of burst transfers assumes that the external memory has a 32-bit port size. the MPC555 / mpc556 provides an effective mechanism for interfacing with 16-bit port size memories and 8-bit port size memories allowing bursts transfers to these devices when they are controlled by the internal memory controller. in this case, the MPC555 / mpc556 attempts to initiate a burst transfer as in the normal case. if the memory controller signals to the bus interface that the external device has a small port size (8 or 16 bits), and if the burst is accepted, the bus interface completes a burst of 8 or 16 beats. each of the data beats of the burst transfers effectively only one or two bytes. note that this burst of 8 or 16 beats is considered an atomic transaction, so the MPC555 / mpc556 does not allow other unrelated master accesses or bus arbitration to inter- vene between the transfers. 9.5.4 burst mechanism in addition to the standard bus signals, the MPC555 / mpc556 burst mechanism uses the following signals:  the burst signal indicates that the cycle is a burst cycle.  the burst data in progress (bdip ) signal indicates the duration of the burst data.  the burst inhibit (bi ) signal indicates whether the slave is burstable. at the start of the burst transfer, the master drives the address, the address attributes, and the burst signal to indicate that a burst transfer is being initiated, and asserts ts . if the slave is burstable, it negates the burst-inhibit (bi ) signal. if the slave cannot burst, it asserts bi . during the data phase of a burst write cycle the master drives the data. it also asserts bdip if it intends to drive the data beat following the current data beat. when the slave has received the data, it asserts the signal transfer acknowledge to indicate to the master that it is ready for the next data transfer. the master again drives the next data f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-17 and asserts or negates the bdip signal. if the master does not intend to drive another data beat following the current one, it negates bdip to indicate to the slave that the next data beat transfer is the last data of the burst write transfer. bdip has two basic timings: normal and late (see figure 9-13 and figure 9-14 ). in the late timing mode, assertion of bdip is delayed by the number of wait states in the first data beat. this implies that for zero-wait-state cycles, bdip assertion time is identical in normal and late modes. cycles with late bdip generation can occur only during cy- cles for which the memory controller generates ta internally. refer to section 10 memory controller for more information. in the MPC555 / mpc556, no internal master in itiates write bursts. the MPC555 / mpc556 is designed to perform this kind of transaction in order to support an external master that is using the memory controller services. refer to 10.7 memory controller external master support . during the data phase of a burst read cycle, the master receives data from the ad- dressed slave. if the master needs more than one data beat, it asserts bdip . upon receiving the second-to-last data beat, the master negates bdip . the slave stops driv- ing new data after it receives the negation of the bdip signal at the rising edge of the clock. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-18 figure 9-11 basic flow diagram of a burst read cycle master slave request bus (br ) receive bus grant (bg ) from arbiter assert bus busy (bb ) if no other master is driving assert transfer start (ts ) drive address and attributes receive address return data assert transfer acknowledge (ta ) receive data drive burst asserted assert bdip bdip asserted yes return data assert transfer acknowledge (ta ) receive data bdip asserted yes return data assert transfer acknowledge (ta ) receive data bdip asserted yes return data assert transfer acknowledge (ta ) receive sata bdip asserted yes negate burst data in progress (bdip ) no drive last data & assert ta no drive last data & assert ta no drive last data & assert ta no drive last data & assert ta addr[28:29] mod 4 = ? assert bdip assert bdip = 2 = 1 = 3 = 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-19 figure 9-12 burst-read cycle?32-bit port size?zero wait state clkout addr[0:31] ts br bg bb data ta rd/wr burst tsiz[0:1] bdip data data data data is valid is valid is valid is valid last beat expects another data 00 addr[28:31] = 0000 o o o o o o oo f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-20 figure 9-13 burst-read cycle?32-bit port size?one wait state clkout addr[0:31] ts br bg bb data ta rd/wr burst tsiz[0:1] bdip data data data data is valid is valid is valid is valid last beat expects another data 00 wait state addr[28:31] = 0000 normal late o o o o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-21 figure 9-14 burst-read cycle?32-bit port size?wait states between beats clkout addr[0:31] ts br bg bb data ta rd/wr burst tsiz[0:1] bdip data data data data is valid is valid is valid is valid last beat expects another data 00 wait state addr[28:31] = 0000 normal or late o o o o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-22 figure 9-15 burst-read cycle, 16-bit port size clkout addr[0:31] ts br bg bb data[0:15] ta rd/wr burst tsiz[0:1] bdip 00 addr[28:31] = 0000 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-23 figure 9-16 basic flow diagram of a burst write cycle external master slave request bus (br ) receive bus grant (bg ) from arbiter assert bus busy (bb ) if no other master is driving assert transfer start (ts ) drive address and attributes receive address sample data assert transfer acknowledge (ta ) drive data drive burst asserted assert bdip bdip asserted yes sample data assert transfer acknowledge (ta ) drive data bdip asserted yes sample data assert transfer acknowledge (ta ) drive data bdip asserted yes sample data assert transfer acknowledge (ta ) stop driving data bdip asserted yes negate burst data in progress (bdip ) no don?t sampl e next data no don?t sampl e next data no don?t sampl e next data no don?t sampl e next data addr[28:29] mod 4 = ? assert bdip assert bdip = 2 = 1 = 3 = 0 drive data mts asserted (from MPC555) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-24 figure 9-17 burst-write cycle, 32-bit port size, zero wait states addr[0:31] mts br bg bb rd/ wr burst tsiz[0:1] bdip data data data data is sampled is sampled is sampled is sampled last beat will drive another data addr[28:29] = 00 o o o o o o o o (from external master) (from external master) (from external master) (from external master) (from external master) clkout master) data ta ts (from external master) (from external 00 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-25 figure 9-18 burst-inhibit cycle, 32 -bit port size (emulated burst) clkout addr[0:27] ts br bg bb data ta rd/wr burst * tsiz[0:1] bdip * 00 bi addr[28:29] addr[30:31] 0 12 3 * burst and bdip will be asserted for one cycle if the rcpu core requests a burst, but the usiu splits it into a sequence of normal cycles. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-26 figure 9-19 non-wrap burst with three beats clkout addr(0:29) ts br bg bb data ta rd/wr burst tsiz[0:1] bdip 00 bi addr[30:31] n (n modulo 4 = 1) expects another data o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-27 figure 9-20 non-wrap burst with one data beat clkout addr[0:29] ts br bg bb data ta rd/wr burst tsiz[0:1] bdip data is sampled first and last beat 00 addr[30:31] 00 n (n modulo 4 = 3) is never asserted o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-28 9.5.5 alignment and packaging of transfers the MPC555 / mpc556 external bus requires natural address alignment:  byte accesses allow any address alignment  half-word accesses require address bit 31 to equal zero  word accesses require address bits 30 ? 31 to equal zero  burst accesses require address bits 30 ? 31 to equal zero the MPC555 / mpc556 performs operand transfers through its 32-bit data port. if the transfer is controlled by the internal memory controller, the MPC555 / mpc556 can support 8- and 16-bit data port sizes. the bus requires that the portion of the data bus used for a transfer to or from a par- ticular port size be fixed. a 32-bit port must reside on data[0:31], a 16-bit port must reside on data[0:15], and an 8-bit port must reside on data[0:7]. the MPC555 / mpc556 always tries to transfer the maximum amount of data on all bus cycles. for a word operation, it always assumes that the port is 32 bits wide when beginning the bus cycle. in figure 9-21 , figure 9-22 , table 9-2 , and table 9-3 , the following conventions are used:  op0 is the most-significant byte of a word operand and op3 is the least-signifi- cant byte.  the two bytes of a half-word operand are either op0 (most-significant) and op1 or op2 (most-significant) and op3, depending on the address of the access.  the single byte of a byte-length operand is op0, op1, op2, or op3, depending on the address of the access. figure 9-21 internal operand representation figure 9-22 illustrates the device connections on the data bus. op0 op1 op2 031 word half-word byte op0 op1 op2 op3 op0 op1 op2 op3 op3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-29 figure 9-22 interface to different port size devices table 9-2 lists the bytes required on the data bus for read cycles. 031 32-bit port size op0 op1 op2 op3 op0 op1 op2 op3 op0 op1 op2 op3 op0 op1 op2 op3 16-bit port size 8-bit port size data[0:7] data[8:15] data[16:23] data[24:31] interface output register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-30 note: ??? denotes a byte not required during that read cycle. table 9-3 lists the patterns of the data transfer for write cycles when the MPC555 / mpc556 initiates an access. note: ??? denotes a byte not driven during that write cycle. 9.5.6 arbitration phase the external bus design provides for a single bus master at any one time, either the MPC555 / mpc556 or an external device. one or more of the external devices on the bus can have the capability of becoming bus master for the external bus. bus arbitra- tion may be handled either by an external central bus arbiter or by the internal on-chip arbiter. in the latter case, the system is op timized for one external bus master besides the MPC555 / mpc556. the arbitration configuration (external or internal) is set at sys- tem reset. each bus master must have bus request (br ), bus grant (bg ), and bus busy (bb ) sig- nals. the device that needs the bus asserts br . the device then waits for the arbiter to assert bg . in addition, the new master must look at bb to ensure that no other mas- ter is driving the bus before it can assert bb to assume ownership of the bus. any time the arbiter has taken the bus grant away from the master and the master wants to ex- table 9-2 data bus requirements for read cycles transfer size tsize [0:1] address 32-bit port size 16-bit port size 8-bit port size addr [30:31] data [0:7] data [8:15] data [16:23] data [24:31] data [0:7] data [8:15] data [0:7] byte 01 00 op0 ? ? ? op0 ? op0 01 01 ?op1 ? ? ?op1op1 01 10 ? ? op2 ? op2 ? op2 01 11 ? ? ? op3 ? op3 op3 half-word 10 00 op0op1 ? ? op0op1op0 10 10 ? ? op2 op3 op2 op3 op2 word 00 00 op0 op1 op2 op3 op0 op1 op0 table 9-3 data bus contents for write cycles transfer size tsize[0:1] address external data bus pattern addr [30:31] data [0:7] data [8:15] data [16:23] data [24:31] byte 01 00 op0 ? ? ? 01 01 op1 op1 ? ? 01 10 op2 ? op2 ? 01 11 op3 op3 ? op3 half-word 10 00 op0 op1 ? ? 10 10 op2 op3 op2 op3 word 00 00 op0 op1 op2 op3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-31 ecute a new cycle, the master must re-arbitrate before a new cycle can be executed. the MPC555 / mpc556, however, guarantees data coherency for access to a small port size and for decomposed bursts. this means that the MPC555 / mpc556 will not release the bus before the completion of the transactions that are considered atomic. figure 9-23 describes the basic protocol for bus arbitration. figure 9-23 bus ar bitration flowchart 9.5.6.1 bus request the potential bus master asserts br to request bus mastership. br should be negated as soon as the bus is granted, the bus is not busy, and the new master can drive the bus. if more requests are pending, the master can keep asserting its bus request as long as needed. when configured for external central arbitration, the MPC555 / mpc556 drives this signal when it requires bus mastership. when the internal on-chip arbiter is used, this signal is an input to the internal arbiter and should be driven by the external bus master. requesting device arbiter request the bus 1. assert br terminate arbitration 1. negate bg (or keep asserted to park 1. wait for bb to be negated. 3. negate br bus master) operate as bus master 1. perform data transfer release bus mastership 1. negate bb acknowledge bus mastership 2. assert bb to become next master grant bus arbitration 1. assert bg f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-32 9.5.6.2 bus grant the arbiter asserts bg to indicate that the bus is granted to the requesting device. this signal can be negated following the negation of br or kept asserted for the current master to park the bus. when configured for external central arbitration, bg is an input signal to the MPC555 / mpc556 from the external arbiter. when the internal on-chip arbiter is used, this sig- nal is an output from the internal arbiter to the external bus master. 9.5.6.3 bus busy bb assertion indicates that the current bu s master is using the bus. new masters should not begin transfer until this signal is negated. the bus owner should not relin- quish or negate this signal until the transfer is complete. to avoid contention on the bb line, the master should three-state this signal when it gets a logical one value. this requires the connection of an external pull-up resistor to ensure that a master that ac- quires the bus is able to recognize the bb line negated, regardless of how many cycles have passed since the previous master relinquished the bus. refer to figure 9-24 . figure 9-24 masters si gnals basic connection external bus MPC555 slave 2 master ts bb f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-33 figure 9-25 bus arbitration timing diagram 9.5.6.4 internal bus arbiter the MPC555 / mpc556 can be configured at system reset to use the internal bus ar- biter. in this case, the MPC555 / mpc556 will be parked on the bus. the parking fea- ture allows the MPC555 / mpc556 to skip the bus request phase, and if bb is negated, assert bb and initiate the transaction without waiting for bg from the arbiter. the priority of the external device relative to the internal MPC555 / mpc556 bus mas- ters is programmed in the siu module configuration register. if the external device re- quests the bus and the MPC555 / mpc556 does not require it, or if the external device has higher priority than the current internal bus master, the MPC555 / mpc556 grants the bus to the external device. table 9-4 describes the priority mechanism used by the internal arbiter. clkout br0 bg1 addr & attr. bg0 br1 master 0 ?turns on? and drives signals master 0 negates bb and ?turns off? (three-state controls) bb ts ta master 1 ?turns on? and drives signals f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-34 figure 9-26 illustrates the internal finite-state machine that implements the arbiter pro- tocol. table 9-4 priority between internal a nd external masters over external bus 1 notes: 1. external master will be granted external bus ownership if earp is greater than the internal access pri- ority. type direction priority parked access 2 2. parked access is instruction or data access from the rcpu which is initiated on the internal bus without requesting it first in order to improve performance. internal external 0 instruction access internal external 3 data access internal external 4 external access external external/internal earp 3 (could be programmed to 0 ? 7) 3. refer to 6.13.1.1 siu module configuration register . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-35 figure 9-26 internal bus arbitration state machine 9.5.7 address transfer phase signals address transfer phase signals include the following:  transfer start  address bus  transfer attributes transfer attributes signals include rd/wr , burst , tsiz[0:1], at[0:3], sts , and bdip . with the exception of the bdip , these signals are available at the same time as the address bus. idle bg = 1 bb = t.s external bg = 0 external master br = 0 ext master release bus bg = 1 bb = t.s bb = t.s bb = 0 bg = 1 bb = 0 bb = 1 b b = 1, br =1 br = 0 requests bus br = 1 external device with higher priority than the current internal bus master requests the bus internal master with higher priority than the external device requires the bus mcu needs no longer the bus needs the bus still needs the bus wait owner owner bus MPC555 / mpc556 MPC555 / mpc556 MPC555 / mpc556 MPC555 / mpc556 MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-36 9.5.7.1 transfer start this signal (ts ) indicates the beginning of a transaction on the bus addressing a slave device. this signal should be asserted by a master only after the ownership of the bus was granted by the arbitration protocol. this signal is asserted for the first cycle of the transaction only and is negated in successive clock cycles until the end of the trans- action. the master should three-state this signal when it relinquishes the bus to avoid contention between two or more masters in this line. this situation indicates that an external pull-up resistor should be connected to the ts signal to avoid having a slave recognize this signal as asserted when no master drives it. refer to figure 9-24 . 9.5.7.2 address bus the address bus consists of 32 bits, with addr[0] the most significant bit and ad- dr[31] the least significant bit. the bus is byte-addressable, so each address can ad- dress one or more bytes. the address and its attributes are driven on the bus with the transfer start signal and kept valid until the bus master receives the transfer acknowl- edge signal from the slave. to distinguish the individual byte, the slave device must observe the tsiz signals. 9.5.7.3 read/write a high value on the rd/wr line indicates a read access. a low value indicates a write access. 9.5.7.4 burst indicator burst is driven by the bus master at the beginning of the bus cycle along with the address to indicate that the transfer is a burst transfer. the MPC555 / mpc556 supports a non-wrapping, four-beat maximum, critical word first burst type. the maximum burst size is 16 bytes. for a 32-bit port, the burst in- cludes four beats. for a 16-bit port, the burst includes 8 beats. for an 8-bit port, the burst includes 16 beats at most. note that 8- and 16-bit ports must be controlled by the memory controller. the actual size of the burst is determined by the address of the starting word of the burst. refer to table 9-5 and table 9-6 . table 9-5 burst length and order starting address addr[28:29] burst order (assuming 32-bit port size) burst length in words (beats) burst length in bytes comments 00 word 0 word 1 word 2 word 3 416 01 word 1 word 2 word 3 3 12 10 word 2 word 3 2 8 11 word 3 1 4 bdip never asserted f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-37 9.5.7.5 transfer size the transfer size signals (tsiz[0:1]) indicate the size of the requested data transfer. during each transfer, the tsiz signals indicate how many bytes are remaining to be transferred by the transaction. the tsiz signals can be used with burst and ad- dr[30:31] to determine which byte lanes of the data bus are involved in the transfer. for nonburst transfers, the tsiz signals sp ecify the number of bytes starting from the byte location addressed by addr[30:31]. in burst transfers, the value of tsiz is al- ways 00. 9.5.7.6 address types the address type (at[0:3]), program trace (ptr ), and reservation transfer (rsv) sig- nals are outputs that indicate one of 16 address types. these types are designated as either a normal or alternate master cycle, us er or supervisor, and instruction or data type. the address type signals are valid at the rising edge of the clock in which the special transfer start (sts ) signal is asserted. a special use of the ptr and rsv signals is for the reservation protocol described in 9.5.9 storage reservation . refer to 9.5.13 show cycle transactions for informa- tion on show cycles. table 9-7 summarizes the pins used to define the address type. table 9-8 lists all the definitions achieved by combining these pins. table 9-6 burst /tsize encoding burst tsiz(0:1) transfer size negated 01 byte negated 10 half-word negated 11 x negated 00 word asserted 00 burst (16 bytes) table 9-7 address type pins pin function sts 0 = special transfer 1 = normal transfer ts 0 = start of transfer 1 = no transfer at0 must equal zero on MPC555 / mpc556 at1 0 = supervisor mode 1 = user mode at2 0 = instruction 1 = data at3 reservation/program trace ptr 0 = program trace 1 = no program trace rsv 0 = reservation data 1 = no reservation f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-38 : 9.5.7.7 burst data in progress this signal is sent from the master to the slav e to indicate that there is a data beat fol- lowing the current data beat. the master uses this signal to give the slave advance warning of the remaining data in the burst. bdip can also be used to terminate the burst cycle early. refer to 9.5.3 burst transfer and 9.5.4 burst mechanism for more information. 9.5.8 termination signals the ebi uses three termination signals:  transfer acknowledge (ta )  burst inhibit (bi )  transfer error acknowledge (tea ) 9.5.8.1 transfer acknowledge transfer acknowledge indicates normal completion of the bus transfer. during a burst cycle, the slave asserts this signal with every data beat returned or accepted. table 9-8 address types definition sts ts at0 at1 at2 at3 ptr rsv address space definitions 1xxxxx11no transfer 0 0 1 notes: 1. cases in which both ts and sts are asserted indicate normal cycles with the show cycle attribute. 0 0 0 0 0 1 rcpu, normal instruction, program trace, supervisor mode 1 1 1 rcpu, normal instruction, supervisor mode 1 0 1 0 rcpu, reservation data, supervisor mode 1 1 1 rcpu, normal data, supervisor mode 1 0 0 0 1 rcpu, normal instruction, program trace, user mode 1 1 1 rcpu, normal instruction, user mode 1 0 1 0 rcpu, reservation data, user mode 1 1 1 rcpu, normal data, user mode 1???11reserved 1 0 0 0 001 rcpu, show cycle address instruction, program trace, su- pervisor mode 1 1 1 rcpu, show cycle address instruction, supervisor mode 1 0 1 0 rcpu, reservation show cycle data, supervisor mode 1 1 1 rcpu, show cycle data, supervisor mode 1 0 001 rcpu, show cycle address instruction, program trace, user mode 1 1 1 rcpu, show cycle address instruction, user mode 1 0 1 0 rcpu, reservation show cycle data, user mode 1 1 1 rcpu, show cycle data, user mode 1???11reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-39 9.5.8.2 burst inhibit a slave sends the bi signal to the master to indicate that the addressed device does not have burst capability. if this signal is asserted, the master must transfer in multiple cycles and increment the address for the slav e to complete the burst transfer. for a system that does not use the burst mode at a ll, this signal can be tied low permanently. 9.5.8.3 transfer error acknowledge the tea signal terminates a bus cycle under one or more bus error conditions. the current bus cycle must be aborted. this si gnal overrides any other cycle termination signals, such as transfer acknowledge. 9.5.8.4 termination signals protocol the transfer protocol was defined to avoid electrical contention on lines that can be driven by various sources. to this end, a slave must not drive signals associated with the data transfer until the address phase is completed and it recognizes the address as its own. the slave must disconnect from signals immediately after it has acknowl- edged the cycle and no later than the termination of the next address phase cycle. this means that the termination signals must be connected to power through a pull-up re- sistor to avoid the situation in which a master samples an undefined value in any of these signals when no real slave is addressed. refer to figure 9-27 and figure 9-28 . figure 9-27 termination signa ls protocol basic connection external bus mcu slave 2 slave 1 acknowledge signals f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-40 figure 9-28 termination signa ls protocol timing diagram 9.5.9 storage reservation the MPC555 / mpc556 storage reservation protocol supports a multi-level bus struc- ture. for each local bus, storage reservation is handled by the local reservation logic. the protocol tries to optimize reservation cancellation such that a powerpc processor is notified of storage reservation loss on a remote bus only when it has issued a stwcx cycle to that address. that is, the reservat ion loss indication comes as part of the stwcx cycle. this method avoids the need to have very fast storage reservation loss indication signals routed from every remote bus to every powerpc master. the storage reservation protocol makes the following assumptions:  each processor has, at most, one reservation flag  lwarx sets the reservation flag  lwarx by the same processor clears the reservation flag related to a previous lwarx instruction and again sets the reservation flag  stwcx by the same processor clears the reservation flag  store by the same processor does not clear the reservation flag  some other processor (or other mechanism) store to the same address as an ex- isting reservation clears the reservation flag  in case the storage reservation is lost, it is guaranteed that stwcx will not modify the storage clkout addr[0:31] ts ta , bi , tea rd/wr tsiz[0:1] slave 1 slave 2 slave 1 allowed to drive acknowledge signals slave 1 negates acknowledge signals and turns off slave 2 allowed to drive acknowledge signals slave 2 negates acknowledge signals and turns off data f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-41 the reservation protocol for a single-level (local) bus is illustrated in figure 9-29 . the protocol assumes that an external logic on the bus carries out the following functions:  snoops accesses to all local bus slaves  holds one reservation for each local master capable of storage reservations  sets the reservation when that master issues a load and reserve request  clears the reservation when some other ma ster issues a store to the reservation address figure 9-29 reservation on local bus the MPC555 / mpc556 samples the cr line at the rising edge of clkout. when this signal is asserted, the reservation flag is reset. the ebi samples the logical value of the reservation flag prior to externally starting a bus cycle initiated by the rcpu stwcx instruction. if the reservation flag is set, the ebi begins with the bus cycle. if the reservation flag is reset, no bus cycle is initiated ex- ternally, and this situation is reported to the rcpu. the reservation protocol for a multi-level (local) bus is illustrated in figure 9-30 . the system describes the situation in which the reserved location is sited in the remote bus. s r reservation logic external bus interface lwarx q enable external stwcx access cr external bus master bus addr[0:29] cr clkout at[0:3], rsv , r/w , ts MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-42 figure 9-30 reservation on multilevel bus hierarchy in this case, the bus interface block implements a reservation flag for the local bus master. the reservation flag is set by the bus interface when a load with reservation is issued by the local bus master and the reservation address is located on the remote bus. the flag is reset when an alternative master on the remote bus accesses the same location in a write cycle. if the MPC555 / mpc556 begins a memory cycle to the previously reserved address (located in the remote bus) as a result of an stwcx in- struction, the following two cases can occur:  if the reservation flag is set, the buses interface acknowledges the cycle in a nor- mal way  if the reservation flag is reset, the bus interface should assert the kr. however, s r buses interface external bus interface q kr external bus (local bus) at[0:3], rsv, r/w , ts addr[0:29] remote bus a master in the remote bus write to the reserved location local master accesseses with to remove bus address lwarx MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-43 the bus interface should not perform the remote bus write-access or abort it if the remote bus supports aborted cycles. in this case the failure of the stwcx instruc- tion is reported to the rcpu. 9.5.10 bus exception control cycles the MPC555 / mpc556 bus architecture requires assertion of ta from an external de- vice to signal that the bus cycle is complete. ta is not asserted in the following cases:  the external device does not respond  various other application-dependent errors occur external circuitry can provide tea when no device responds by asserting ta within an appropriate period of time after the MPC555 / mpc556 initiates the bus cycle (it can be the internal bus monitor). this allows the cycle to terminate and the processor to enter exception-processing for the error condition (each one of the internal masters causes an internal interrupt under this situation). to properly control termination of a bus cycle for a bus error, tea must be asserted at the same time or before ta is as- serted. tea should be negated before the second rising edge after it was sampled as asserted to avoid the detection of an error for the next initiated bus cycle. tea is an open drain pin that allows the ?wired-or? of any different sources of error generation. 9.5.10.1 retrying a bus cycle when an external device asserts the retry signal during a bus cycle, the MPC555 / mpc556 enters a sequence in which it terminates the current transaction, relinquishes the ownership of the bus, and retries the cycle using the same address, address at- tributes, and data (in the case of a write cycle). figure 9-31 illustrates the behavior of the MPC555 / mpc556 when the retry signal is detected as a termination of a transfer. as seen in this figure, in the case when the internal arbiter is enabled, the MPC555 / mpc556 negates bb and asserts bg in the clock cycle following the retry detection. this allows any external master to gain bus ownership. in the next clock cycle, a norm al arbitration procedure occurs again. as shown in the figure, the external master did not use the bus, so the MPC555 / mpc556 initiates a new transfer with the same address and attributes as before. in figure 9-32 , the same situation is shown except that the MPC555 / mpc556 is working with an external arbiter. in this case, in the clock cycle after the retry signal is detected asserted, br is negated together with bb . one clock cycle later, the normal arbitration procedure occurs again. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-44 figure 9-31 retry transfer timing?internal arbiter clkout addr[0:31] ts br bg (output) bb data ta rd/wr burst tsiz[0:1] retry (input) addr addr allow external master to gain the bus o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-45 figure 9-32 retry transfer timing?external arbiter when the MPC555 / mpc556 initiates a burst access, the bus interface recognizes the retry assertion as a retry termination only if it detects it before the first data beat was acknowledged by the slave device. when the retry signal is asserted as a termina- tion signal on any data beat of the access after the first (being the first data beat ac- knowledged by a normal ta assertion), the MPC555 / mpc556 recognizes retry as a transfer error acknowledge. clkout addr[0:31] ts br (output) bg bb data ta rd/wr burst tsiz[0:1] retry (input) addr addr allow external master to gain the bus o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-46 figure 9-33 retry on burst cycle if a burst access is acknowledged on its first beat with a normal ta but with the bi sig- nal asserted, the following single-beat transfers initiated by the MPC555 / mpc556 to complete the 16-byte transfer recognizes the retry signal assertion as a transfer er- ror acknowledge. in the case in which a small port size causes the MPC555 / mpc556 to break a bus transaction into several small transactions , terminating any transaction with retry clkout addr[0:31] ts br bg (output) bb data ta rd/wr burst tsiz[0:1] retry addr addr allow external master to gain the bus bi if asserted will cause transfer error o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-47 causes a transfer error acknowledge. see 9.5.2.3 single beat flow with small port size . 9.5.10.2 termination signa ls protocol summary table 9-9 summarizes how the MPC555 / mpc556 recognizes the termination signals provided by the slave device that is addressed by the initiated transfer. 9.5.11 bus operation in external master modes when an external master takes ownership of the external bus and the MPC555 / mpc556 is programmed for external master mode operation, the external master can access the internal space of the MPC555 / mpc556 (see 6.2 external master modes ). in an external master mode, the external master owns the bus, and the direc- tion of most of the bus signals is inverted, relative to its direction when the MPC555 / mpc556 owns the bus. the external master gets ownership of the bus and asserts ts in order to initiate an external master access. the access is directed to the internal bus only if the input ad- dress matches the internal address space. the access is terminated with one of the followings outputs: ta , tea , or retry . if the access completes successfully, the MPC555 / mpc556 asserts ta , and the external master can proceed with another ex- ternal master access or relinquish the bus. if an address or data error is detected in- ternally, the MPC555 / mpc556 asserts tea for one clock. tea should be negated before the second rising edge after it is sampled asserted in order to avoid the detec- tion of an error for the ne xt bus cycle initiated. tea is an open drain pin, and the ne- gation timing depends on the attached pullup. the MPC555 / mpc556 asserts the retry signal for one clock in order to retry the external master access. if the address of the external access does not match the internal memory space, the internal memory controller can provide the chip-select and control signals for accesses that belong to one of the memory controller regions. this feature is explained in sec- tion 10 memory controller . figure 9-34 and figure 9-35 illustrate the basic flow of read and write external master accesses. table 9-9 terminati on signals protocol tea ta retry action asserted x x transfer error termination negated asserted x normal transfer termination negated negated asserted retry transfer termination f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-48 figure 9-34 basic flow of an external master read access external master request bus (br ) receives bus grant (bg ) from arbiter asserts bus busy (bb ) if no other master is driving assert transfer start (ts ) drives address and attributes receives address returns data asserts transfer acknowledge (ta ) receives data address in internal memory map no yes asserts csx if in range memory controller MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-49 figure 9-35 basic flow of an external master write access , figure 9-36 , figure 9-37 and figure 9-38 describe read and wr ite cycles from an ex- ternal master accessing internal space in the MPC555 / mpc556. note that the mini- external master request bus (br ) receives bus grant (bg ) from arbiter asserts bus busy (bb ) if no other master is driving assert transfer start (ts ) drives address and attributes asserts transfer acknowledge (ta ) address in internal memory map no yes asserts csx if in range memory controller drives data receives address receives data MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-50 mum number of wait states for such acce ss is two clocks. the accesses in these figures are valid for both peripheral mode and slave mode. figure 9-36 peripheral mode: external master reads from MPC555 / mpc556 ? two wait states clkout addr[0:31] ts (input) br (input) bg bb data ta (output) rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is valid burst tsiz[0:1] minimum 2 wait states bdip use the internal arbiter o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-51 figure 9-37 peripheral mode: external master writes to MPC555 / mpc556 ; two wait states clkout addr[0:31] ts (input) br (input) bg bb data ta (output) rd/wr receive bus grant and bus busy negated assert bb , drive address and assert ts data is sampled burst tsiz[0:1] minimum 2 wait states bdip use the internal arbiter o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-52 9.5.12 contention resolution on external bus when the MPC555 / mpc556 is in slave mode, external master access to the MPC555 / mpc556 internal bus can be terminated with relinquish and retry in order to allow a pending internal-to-external access to be executed. the retry signal functions as an output that signals the external master to release the bus ownership and retry the access after one clock. figure 9-38 describes the flow of an external master retried access. figure 9-39 shows the timing when an external access is retried and a pending internal-to-external access follows. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-53 figure 9-38 flow of retry of external master read access external master request bus (br ) receives busgrant (bg ) from arbiter asserts bus busy (bb ) if no other master is driving assert transfer start (ts ) drives address and attributes receives address returns data asserts transfer acknowledge (ta ) receives data address in internal memory map no yes asserts csx if in range memory controller assert retry release bus request (br ) for one clock and request bus (br ) again wait until bus busy negated (no other master is driving) assert transfer start (ts ) drives address and attributes assert bus busy (bb ) MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-54 figure 9-39 retry of external master access (internal arbiter) 9.5.13 show cycle transactions show cycles are accesses to the cpu?s inte rnal bus devices. these accesses are driven externally fo r emulation, visibilit y, and debugging purp oses. a show cycle can have one address phase and one data phase, or just an address phase in the case of instruction show cycles. the cycle can be a write or a read access. the data for both the read and write accesses should be driven by the bus master. (this is different from normal bus read and write accesses.) the address and data of the show cycle must each be valid on the bus for one clock. the data phase must not require a transfer ac- clkout addr[0:31] ts br bg (output) bb data ta rd/wr burst tsiz[0:1] retry (output) addr (ext)ernal addr (internal) allow internal access to gain the note: the delay for the internal to external cycle may be one clock or greater. bus o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-55 knowledge to terminate the bus show cycle. in a burst show cycle only the first data beat is shown externally. refer to table 9-8 for show cycle transaction encodings. instruction show cycle bus transactions have the following characteristics (see figure 9-40 ):  one clock cycle  address phase only  sts assertion only (no ta assertion) i figure 9-40 instruction show cycle transaction clkout addr[0:31] br (in) bg (out) bb data (three-state) ta rd/wr burst tsiz[0:1] addr1 addr2 sts ts ?normal? non-show cycle bus transaction instruction show cycle bus transaction f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 external bus interface motorola user?s manual rev. 15 october 2000 9-56 both read and write data show cycles have the following characteristics (see figure 9-41 ):  two clock cycle duration  address valid for two clock cycles  data is valid only in the second clock cycle  sts signal only is asserted (no ta or ts ) figure 9-41 data show cycle transaction clkout addr[0:31] br (in) bg (out) bb data ta rd/wr burst tsiz[0:1] addr1 addr2 sts ts data1 data2 read data show cycle bus transaction write data show cycle bus transaction f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-1 section 10 memory controller the memory controller generates interface signals to support a glueless interface to external memory and peripheral devices. it supports four regions, each with its own programmed attributes. the four regions are reflected on four chip-select pins. read and write strobes are also provided. the memory controller operates in parallel with the external bus interface to support external cycles. when an access to one of th e memory regions is initiated, the memory controller takes ownership of the external signals and controls the access until its ter- mination. refer to figure 10-1 . figure 10-1 memory controller function within the usiu 10.1 overview the memory controller provides a gluele ss interface to eprom, static ram (sram), flash eprom (feprom), and other peripherals. the general-purpose chip-selects are available on lines cs [0] through cs [3]. cs [0] also functions as the global (boot) internal bus ebi bus memory controller u-bus interface external bus interface memory controller addr[0:31] data[0:31] control bus we [0:3]/be [0:3] oe cs[0:3] bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-2 chip-select for accessing the boot flash eeprom. the chip select allows zero to 30 wait states. figure 10-2 is a block diagram of the MPC555 / mpc556 memory controller. figure 10-2 memory controller block diagram most memory controller features are common to all four banks. (for features unique to the cs [0] bank, refer to 10.4 global (boot) chip-select operation .) a full 32-bit address decode for each memory bank is possible with 17 bits having address mask- ing. the full 32-bit decode is available, even if all 32 address bits are not sent to the MPC555 / mpc556 pins. each memory bank includes a variable block size of 32 kbytes, 64 kbytes and up to 4 gbytes. each memory bank can be selected for read-only or read/write operation. the access to a memory bank can be restricted to certain address type codes for sys- tem protection. the address type comparison occurs with a mask option as well. internal addresses [0:16, at[0:2] attributes wait state counter expired load cs [0:3] we /be [0:3] oe base register option register dual mapping base register (dmbr) dual mapping option register (dmor) base register 3 (br3) option register 3 (or3) 0 (or0) 1 (or1) 2 (or2) 0 (or0) 1 (or1) 2 (or2) region match logic general-purpose chip-select machine (gpcm) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-3 from zero to 30 wait states can be programmed with ta generation. four byte-write and read-enable signals (we /be [0:3]) are available for each byte that is written to memory. an output enable (oe ) signal is provided to eliminate external glue logic. a memory transfer start (mts ) strobe permits one master on a bus to access external memory through the chip selects on another. the memory controller functionality allo ws MPC555 / mpc556-based systems to be built with little or no glue logic. a mini mal system using no glue logic is shown in figure 10-3 . in this example cs [0] is used for the 16-bit boot eprom and cs [1] is used for the 32-bit sram. the we /be [0:3] signals are used both to program the eprom and to enable write access to various bytes in the ram. figure 10-3 MPC555 / mpc556 simple system configuration 10.2 memory controller architecture the memory controller consists of a basi c machine that handles the memory access cycle: the general-purpose chip-select machine (gpcm). when a new access to external memory is requested by any of the internal masters, the address of the transfer (with 17 bits having mask) and the address type (with 3 bits having mask) are compared to each one of the valid banks defined in the memory con- troller. refer to figure 10-4 . ce oe w eprom address data[0:15] sram address ce we /be [0:3] data cs [1] oe address data cs[ 0] we /be [0:3] ce oe oe [0:15] [0:31] we /be [0:1] MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-4 figure 10-4 bank base a ddress and match structure when a match is found on one of the memory banks, its attributes are selected for the functional operation of the external memory access:  read-only or read/write operation  number of wait states for a single memory access, and for any beat in a burst access  burst-inhibit indication. internal burst requests are still possible during burst-inhib- ited cycles; the memory controller emulates the burst cycles  port size of the external device note that if more than one region matches the internal address supplied, then the low- est region is selected to provide the attributes and the chip select. 10.2.1 associated registers status bits for each memory bank are found in the memory control status register (mstat). the mstat reports write-protect violations for all the banks. each of the four banks has a base register (br) and an option register (or). the brx and orx registers contain the attributes specific to bank x. the base register contains a valid bit (v) that indicates that the register information for that chip select is valid. 10.2.2 port size configuration the memory controller supports dynamic bus sizing. defined 8-bit ports can be ac- cessed as odd or even bytes. defined 16-bit ports, when connected to data bus lines zero to 15, can be accessed as odd bytes, even bytes, or even half-words. defined 32- bit ports can be accessed as odd bytes, even bytes, odd half-words, even half-words, m [0] m [1] m [2] m [3] m [4] m [5] m [6] m [7] [16] [15] [1] [4] [3] [2] rb a [0] comp comp comp comp comp comp comp comp comp comp comp m[0:16] a[0:16] base address address mask match rb a rb a rb a rb a rb a rb a m [16] o o o o o o o o o o o o o f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-5 or words on word boundaries. the port size is specified by the ps bits in the base reg- ister. 10.2.3 write-protect configuration the wp bit in each base register can restrict write access to its range of addresses. any attempt to write this area results in the associated wper bit being set in the mstat. if an attempt to access an external device results in a write-protect violation, the mem- ory controller considers the access to be no match. no chip-select line is asserted ex- ternally, and the memory co ntroller does not terminate the cycle. the external bus interface generates a normal cycle on the ex ternal bus. since the memory controller does not acknowledge the cycle internally, the cycle may be terminated by external logic asserting ta or by the on-chip bus monitor asserting tea . 10.2.4 address and address space checking the base address is written to the br. the address mask bits for the address are writ- ten to the or. the address type access value, if desired, is written to the at bits in the br. the atm bits in the or can be used to mask this value. if address type checking is not desired, program the atm bits to zero. each time an external bus cycle access is requested, the address and address type are compared with each one of the banks. if a match is found, the attributes defined for this bank in its br and or are used to control the memory access. if a match is found in more than one bank, the lowest bank matched handles the memory access (e.g., bank zero is selected over bank one). note that when an external master access- es a slave on the bus, the internal at[0:2] lines reaching the memory controller are forced to 100. 10.2.5 burst support burst support is for read only. the memory controller supports burst accesses of ex- ternal burstable memory. to enable bursts, clear the bi in the appropriate base regis- ter. bursts are four beats and non-wrapping. that is, the memory controller executes up to four one-word accesses, but when a modulo four limit is reached, the burst is termi- nated (even if fewer than four words have been accessed). when the siu initiates a burst access, if no match is found in any of the memory con- troller?s regions then a burst access is initiated to the external bus. the termination of each beat for this access is externally controlled (i.e., the user is responsible for termi- nating each data beat using the bus termination protocol). to support different types of memory devices, the memory controller supports two types of timing for the bdip signal: normal and late. note that the bdip pin itself is con- trolled by the external bus interface logic. refer to figure 9-13 and figure 9-14 in section 9 external bus interface . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-6 if the memory controller is used to support an external master accessing an external device with bursts, the bdip input pin is used to indica te to the memory controller when the burst is terminated. for addition details, refer to 9.5.3 burst transfer . 10.3 chip-select timing the gpcm allows a glueless and flexible interface between the MPC555 / mpc556 and sram, eprom, eeprom, rom devices and external peripherals. when an ad- dress and address type matches the values programmed in the br and or for one of the memory controller banks, the attribut es for the memory cycle are taken from the or and br registers as well. these attributes include the following fields: csnt, acs, scy, bscy, wp, trlx, bi, ps, and seta. byte write and read-enable signals (we /be [0:3]) are available for each byte that is written to or read from memory. an output enable (oe ) signal is provided to eliminate external glue logic for read cycles. upon syst em reset, a global (boot) chip select is available. this provides a boot rom chip select before the system is fully configured. table 10-1 summarizes the chip-select timing options. note that when a bank is configured for ta to be generated externally (seta bit is set) and the trlx is set, the memory controller requires the external device to provide at least one wait state before asserting ta to complete the transfer. in this case, the min- imum transfer time is three clock cycles. the internal ta generation mode is enabled if the seta bit in the or register is ne- gated. however, if the ta pin is asserted externally at least two clock cycles before the table 10-1 timing attributes summary timing attribute bits/fields description access speed trlx the trlx (timing relaxed) bit determines strobe timing to be fast or re- laxed. intercycle space time ehtr the ehtr (extended hold time on read accesses) bit is provided for de- vices that have long disconnect times from the data bus on read access- es. ehtr specifies whether the next cycle is delayed one clock cycle following a read cycle, to avoid data bus contentions. ehtr applies to all cycles following a read cycle except for another read cycle to the same region. synchronous or asynchronous device acs, csnt the acs (address-to-chip-select setup) and csnt (chip-select nega- tion time) bits cause the timing of the strobes to be the same as the ad- dress bus timing, or cause the strobes to have setup and hold times relative to the address bus. wait states scy, bscy, seta, trlx from zero to 15 wait states can be programmed for any cycle that the memory controller generates. the transfer is then terminated internally. in simplest case, the cycle length equals (2 + scy) clock cycles, where scy represents the programmed number of wait states (cycle length in clocks). the number of wait states is doubled if the trlx bit is set. when the seta (external transfer acknowledge) bit is set, ta must be generated externally, so that external hardware determines the number of wait states. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-7 wait states counter has expired, this assertion terminates the memory cycle. when seta is cleared, it is forb idden to assert external ta less than two clocks before the wait states counter expires. 10.3.1 memory devices interface example figure 10-5 describes the basic connection between the MPC555 / mpc556 and a static memory device. in this case cs x is connected directly to the chip enable (ce ) of the memory device. the we /be [0:3] lines are connected to the respective w in the memory device where each we /be line corresponds to a different data byte. figure 10-5 MPC555 / mpc556 gpcm?memory devices interface in figure 10-6 , the cs x timing is the same as that of the address lines output. the strobes for the transaction are supplied by the oe and the we /be lines (if pro- grammed as we /be ). because the acs bits in the corresponding orx register = 00, cs is asserted at the same time that the address lines are valid. note that because csnt is set, the we signal is negated a quarter of a clock earlier than normal. memory address ce oe w data address cs x oe we /be data MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-8 note: in this and subsequent timing diagrams in this section, the data bus refers to a read cycle. in a write cycle, the data immediately follows ts . figure 10-6 memory devices interface basic timing (acs = 00,trlx = 0) 10.3.2 peripheral devices interface example figure 10-7 illustrates the basic connection between the MPC555 / mpc556 and an external peripheral device. in this case cs x is connected directly to the chip enable (ce ) of the memory device and the r/w line is connected to the r/w in the peripheral device. the cs x line is the strobe output for the memory access. clock address cs we/be oe data ts ta csnt = 1, acs = 00 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-9 figure 10-7 periphera l devices interface the cs x timing is defined by the setup time required between the address lines and the ce line. the memory controller allows the user to specify the cs timing to meet the setup time required by the peripheral device. this is accomplished through the acs field in the base register. in figure 10-8 , the acs bits are set to 11, so cs x is asserted half a clock cycle after the address lines are valid. figure 10-8 peripheral devices basic timing (acs = 11,trlx = 0) peripheral address ce r/w data address cs x rd/wr data MPC555 / mpc556 clock address ts ta cs rd/wr data acs = 11 csnt = 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-10 10.3.3 relaxed timing examples the trlx field is provided for memory systems that need a more relaxed timing be- tween signals. when trlx is set and acs = 0b00, the memory controller inserts an additional cycle between address and strobes (cs line and we /oe ). when trlx and csnt are both set in a write to memory, the strobe lines (we /be [0:3] and cs , if acs = 0b00) are negated one clock earlier than in the regular case. note that in the case of a bank selected to work with external transfer acknowledge (seta = 1) and trlx = 1, the memory controller does not support external devices providing ta to complete the transfer with zero wait states. the minimum access du- ration in this case equals three clock cycles. figure 10-9 shows a read access with relaxed timing. note the following:  strobes (oe and cs ) assertion time is delayed one clock relative to address (trlx bit set effect).  strobe (cs ) is further delayed (half-clock) relative to address due to acs field be- ing set to 11.  total cycle length = 5, is determined as follows: ? two clocks for basic cycle ? scy = 1 determines 1 wait state, which is multiplied by two due to trlx being set. ? extra clock is added due to trlx effect on the strobes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-11 figure 10-9 relaxed timing?read access (acs = 11, scy = 1, trlx = 1) clock address ts ta cs rd/wr we /be data oe acs = ?11? & trlx = ?1? acs = ?00? & trlx = ?1? webs = ?0?,line acts as be in read. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-12 figure 10-10 through figure 10-12 are examples of write accesses using relaxed tim- ing. in figure 10-10 , note the following points:  because trlx is set, assertion of the cs and we strobes is delayed by one clock cycle.  cs assertion is delayed an additional on e quarter clock cycle because acs = 10.  the total cycle length = three clock cycles, determined as follows: ? the basic memory cycle requires two clock cycles. ? an extra clock cycle is required due to the effect of trlx on the strobes. figure 10-10 relaxe d timing?write access (acs = 10, scy = 0, csnt = 0, trlx = 1) clock address ts ta cs rd/wr we /be data oe acs = 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-13 in figure 10-11 , note the following:  because the trlx bit is set, the assertion of the cs and we strobes is delayed by one clock cycle.  because acs = 11, the assertion of cs is delayed an additional half clock cycle.  because csnt = 1, we is negated one clock cycle earlier than normal. (refer to figure 10-6 ). the total cycle length is four clock cycles, determined as follows: ? the basic memory cycle requires two clock cycles. ? two extra clock cycles are required due to the effect of trlx on the assertion and negation of the cs and we strobes. figure 10-11 relaxed timing ? write access (acs = 11, scy = 0, csnt = 1, trlx = 1) clock address ts ta cs rd/wr we /be data oe acs =11 acs!=00 & csnt = 1 csnt = 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-14 in figure 10-12 , notice the following:  because acs = 0, trlx being set does not delay the assertion of the cs and we strobes.  because csnt = 1, we /be is negated one clock cycle earlier than normal. (refer to figure 10-6 ).  cs is not negated one clock cycle earlier, since acs = 00.  the total cycle length is three clock cycles, determined as follows: ? the basic memory cycle requires two clock cycles. ? one extra clock cycle is required due to the effect of trlx on the negation of the we /be strobes. figure 10-12 relaxed timing ? write access (acs = 00, scy = 0, csnt = 1, trlx = 1 10.3.4 extended hold time on read accesses for devices that require a long disconnection time from the data bus on read access- es, the bit ehtr in the corresponding or register can be set. in this case any MPC555 / mpc556 access to the external bus follow ing a read access to the referred memory bank is delayed by one clock cycle unless it is a read access to the same bank. figure clock address ts ta cs rd/wr we /be data oe csnt = 1 no effect, acs = 00 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-15 10-13 through figure 10-16 show the effect of the ehtr bit on memory controller tim- ing. figure 10-13 shows a write access following a read access. because ehtr = 0, no extra clock cycle is inserted between memory cycles. figure 10-13 consecutive accesses (write after read, ehtr = 0) clock address ts ta csx csy rd/wr data oe tdt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-16 figure 10-14 shows a write access following a read access when ehtr = 1. an extra clock is inserted between the cycles. for a write cycle following a read, this is true re- gardless of whether both accesses are to the same region. figure 10-14 consecutive accesses (write after read, ehtr = 1) clock address ts ta csx csy rd/wr data oe tdt long tdt allowed extra clock before next cycle starts. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-17 figure 10-15 shows consecutive accesses from different banks. because ehtr = 1 (and the accesses are to different b anks), an extra clock cycle is inserted. figure 10-15 consecutive accesses (read after read from different banks, ehtr = 1) clock address ts ta csx csy rd/wr data oe tdt long tdt allowed extra clock before next cycle starts f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-18 figure 10-16 shows two consecutive read cycles from the same bank. even though ehtr = 1, no extra clock cycle is inserted between the memory cycles. (in the case of two consecutive read cycles to the same region, data contention is not a concern.) figure 10-16 consecutive accesses (read after read from same bank, ehtr = 1) 10.3.5 summary of gpcm timing options table 10-2 summarizes the different combinations of timing options. clock address ts ta csx csy rd/wr data oe tdt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-19 note: timing in this table refers to the typical timing only. consult the electrical characteristics for exact worst- case timing values. 1/4 clock actually means 0 to 1/4 clock, 1/2 clock means 1/4 to 1/2 clock. additional timing rules not covered in table 10-2 include the following:  if seta = 1, an external ta signal is required to terminate the cycle.  if trlx = 1 and seta = 1, the minimum cycle length = 3 clock cycles (even if scy = 0000)  if trlx = 1, the number of wait states = 2 * scy & 2 * bscy  if ehtr = 1, an extra (idle) clock cycl e is inserted between a read cycle and a following read cycle to another region, or between a read cycle and a following write cycle to any region.  if lbdip = 1 (late bdip assertion), the bdip pin is asserted only after the number of wait states for the first beat in a burst have elapsed. see figure 9-13 in sec- tion 9 external bus interface as well as 9.5.4 burst mechanism . note that this function can operate only when the cycle termination is internal, using the number of wait states programmed in one of the orx registers table 10-2 programming ru les for strobes timing trlx access type acs csnt address to cs asserted cs negated to add/data invalid address to we/be or oe asserted we/be negated to add/data invalid oe negated to add/data invalid total number of cycles 0 read 00 x 0 1/4 * clock 3/4 * clock x 1/4 * clock 2 + scy 0 read 10 x 1/4 * clock 1/4 * clock 3/4 * clock x 1/4 * clock 2 + scy 0 read 11 x 1/2 * clock 1/4 * clock 3/4 * clock x 1/4 * clock 2 + scy 0 write 00 0 0 1/4 * clock 3/4 * clock 1/4 * clock x 2 + scy 0 write 10 0 1/4 * clock 1/4 * clock 3/4 * clock 1/4 * clock x 2 + scy 0 write 11 0 1/2 * clock 1/4 * clock 3/4 * clock 1/4 * clock x 2 + scy 0 write 00 1 0 1/4 * clock 3/4 * clock 1/2 * clock x 2 + scy 0 write 10 1 1/4 * clock 1/2 * clock 3/4 * clock 1/2 * clock x 2 + scy 0 write 11 1 1/2 * clock 1/2 * clock 3/4 * clock 1/2 * clock x 2 + scy 1 read 00 x 0 1/4 * clock 3/4 clock x 1/4 * clock 2 + 2 * scy 1 read 10 x (1 + 1/4) * clock 1/4 * clock (1 + 3/4) * clock x1/4 * clock 3 + 2 * scy 1 read 11 x (1 + 1/2) * clock 1/4 * clock (1 + 3/4) * clock x1/4 * clock 3 + 2 * scy 1 write 00 0 0 1/4 * clock 3/4 clock 1/4 * clock x 2 + 2 * scy 1 write 10 0 (1 + 1/4) * clock 1/4 * clock (1 + 3/4) * clock 1/4 * clock x 3 + 2 * scy 1 write 11 0 (1 + 1/2) * clock 1/4 * clock (1 + 3/4) clock 1/4 * clock x 3 + 2 * scy 1 write 00 1 0 1/4 * clock 3/4 clock (1 + 1/2) * clock x 3 + 2 * scy 1 write 10 1 (1 + 1/4) * clock (1 + 1/2) * clock (1 + 3/4) clock (1 + 1/2) * clock x 4 + 2 * scy 1 write 11 1 (1 + 1/2) * clock (1 + 1/2) * clock (1 + 3/4) clock (1 + 1/2) * clock x 4 + 2 * scy f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-20 10.4 global (boot) chip-select operation global (boot) chip-select operation allows address decoding for a boot rom before system initialization. cs [0] is the global chip-select output. its operation differs from that of the other external chip-select ou tputs following a system reset. when the rcpu begins accessing memory after a system reset, cs [0] is asserted for every address, unless an internal device (register) is accessed. the global chip select provides a programm able port size at system reset using the reset bps pins ([4:5]) of the reset configuration word, allowing a boot rom to be lo- cated anywhere in the address space. for more information, see 7.5.2 hard reset configuration word . the global chip select does not provide write protection and re- sponds to all address types. cs [0] operates in this way until the first write to the cs [0] option register (or0). the pin can be programmed to continue decoding a range of addresses after this write, provided the preferred address range is first loaded into base register zero. after the first write to or0, the global chip select can only be re- started with a system reset. the memory controller operates in boot mode until the user modifies the values in or0 and br to the ones desired. table 10-3 shows the initial values of the ?boot bank? in the memory controller. note if the MPC555 / mpc556 is configured (in the reset configuration word) to use the internal flash eeprom as boot memory cs [0] is not asserted. table 10-3 boot ba nk fields values after hard reset field value (binary) ps from reset configuration wp 0 v from reset configuration am[0:16] 0 0000 0000 0000 0000 atm [ 0:2] 000 csnt 0 acs[0:1] 00 bi 1 scy[0:3] 1111 bscy[0:2] 011 seta 0 trlx 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-21 10.5 write and byte enable signals the gpcm determines the timing and value of the we /be signals if allowed by the port size of the accessed bank, the transfer size of the transaction and the address accessed. the functionality of the we /be [0:3] pins depends upon the value of the write enable/ byte select (webs) bit in the corresponding br register. setting webs to 1 will enable these pins as be , while resetting it to zero will enable them as we . we is asserted only during write access, while be is asserted for both read and write accesses. the timing of the we /be pins remains the same in either case, and is determined by the trlx, acs and csnt bits. the upper we /be (we [0]/be [0]) indicates that the upper eight bits of the data bus (d0?d7) contains valid data during a write/ read cycle. the upper-middle write byte en- able (we [1]/be [1]) indicates that the upper-middle eight bits of the data bus (d8?d15) contains valid data during a write/read cycle. the lower-middle write byte enable (we [2]/be [2]) indicates that the lo wer-middle eight bits of the data bus (d16?d23) contains valid data during a write/read cycl e. the lower write/read enable (we [3]/ be [3]) indicates that the lower eight bits of the data bus contains valid data during a write cycle. the write/byte enable lines affected in a transaction for 32-bit port (ps = 00), a 16-bit port (ps = 10) and a 8-bit port (ps = 01) are shown in table 10-4 . this table shows which write enables are asserted (indicated with an ?x?) for different combina- tions of port size and transfer size 10.6 dual mapping of the in ternal flash eeprom array the user can enable mapping of the internal flash eeprom (cmf) module to an ex- ternal memory region controlled by the memory controller. only one region can be pro- grammed to be dual-mapped. when dual mapping is enabled (dme bit is set in dmbr), an internal address matches the dual-mapped address range (as pro- grammed in the dmbr), and the cycle type matches at/atm field in dmbr/dmor registers, then the following occur:  the internal flash memory does not respond to that address table 10-4 write enable/byt e enable signals function transfer size tsiz address 32-bit port size 16-bit port size 8-bit port size a30 a31 we [0] / be [0] we [1] / be [1] we [2] / be [2] we [3] / be [3] we [0] / be [0] we [1] / be [1] we [2] / be [2] we [3] / be [3] we [0] / be [0] we [1] / be [1] we [2] / be [2] we [3] / be [3] byte 0100xxx 01 0 1 x x x 01 1 0 x x x 01 1 1 x x x half- word 1000xx xx x 1010 xxxx x word0000xxxxxx x f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-22  the memory controller takes control of the external access  the attributes for the access are taken from one of the base and option registers of the appropriate chip select  the chip-select region selected is determined by the ?cs line select? bit field ( 10.8.5 dual mapping base register (dmbr) ). note that dual mapping can operate only for addresses within the flash pre-allocat- ed address (up to 2 mbytes). this is achieved by programming only six bits for the base address (11:16); the upper bits are always set as follows: bus_addr[0:10]={0000000,isb[0:2],0} where isb[0:2] represents the bit field in immr register that determines the location of the address map of the MPC555 / mpc556. with dual mapping, aliasing of address spaces may occur. this happens when the user maps the dual-mapped region into a region which is also mapped into one of the four regions available in the memory controller. if the user writes code or data to the dual-mapped region, care must be taken to avoid overwriting this code or data by nor- mal accesses of the chip-select region. there is a match if: bus_address[0:16] == {0000000,isb[0:2],0,dmbr_reg_value[1:6]} care must also be taken to avoid overwriting ?normal? cs x data with dual-mapped code or data. one way to avoid this situation is by disabling the chip-select region and enabling only the dual-mapped region (dme = 1, but vx = 0, where x = selected region, 0.3). figure 10-17 illustrates the phenomena. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-23 figure 10-17 aliasing phenomena illustration the default state is to allow dual-mapping data accesses only; this means that dual mapping is possible only for data accesses on the internal bus. also, the default state takes the lower two mbytes of the MPC555 / mpc556 internal flash memory. hence, caution should be taken to change the dual-mapping setup before the first data ac- cess. note dual mapping is not supported for an external master when the mem- ory controller serves the access; in such a case, the MPC555 / mpc556 terminates the cycle by asserting tea . MPC555 / mpc556 memory map csx physical external memory external csx flash dual mapping dual-map region f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-24 10.7 memory controller external master support the memory controller in the MPC555 / mp c556 supports accesses initiated by both internal and external bus masters to external memories. if the address of any master is mapped within the internal MPC555 / mpc556 address space, the access will be directed to the internal device, and will be ignored by the memory controller. if the ad- dress is not mapped internally, but rather mapped to one of the memory contoller re- gions, the memory controller will provide the appropriate chip select and strobes as programmed in the corresponding region (see 6.13.1.3 external master control register (emcr) . the MPC555 / mpc556 supports only synchronous external bus masters. this means that the external master works with clko ut and implements the MPC555 / mpc556 bus protocol to access a slave device. a synchronous master initiates a transfer by asserting ts . the addr[0:31] signals must be stable from the rising edge of clkout during which ts is sampled, until the last ta acknowledges the transfer. since the external master works synchronously with the MPC555 / mpc556, only setup and hold times around the rising edge of clk- out are important. once the ts is detected/asserted, the memory controller com- pares the address with each one of its defined valid banks to find a possible match. but, since the external address space is shorter than the internal space, the actual ad- dess that is used for comparing against the memory controller regions is in the format of: {00000000, bits 8:16 of the external address}. in the case where a match is found, the controls to the memory devices are generated and the transfer acknowledge indi- cation (ta ) is supplied to the master. since it takes two clocks for the external address to be recognized and handled by the memory controller, the ts which is generated by the external master is ahead of the corresponding cs and strobes which are asserted by the memory controller. this 2- clock delay might cause problems in some synchronous memories. to overcome this, the memory controller generates the mts (memory transfer start) strobe which can be used in the slave?s memory instead of the external master?s ts signal. as seen in fig- ure 10-18 , the mts strobe is synchronized to the assertion of cs by the memory con- troller so that the external memory can latch the external master?s address correctly. to activate this feature, the mtsc bit mu st be set in the siumcr register. refer to 6.13.1.1 siu module c onfiguration register for more information. when the external master accesses the internal flash when it is disabled, then the ac- cess is terminated with transfer error acknowledge (tea pin) asserted, and the mem- ory controller does not support this access in any way. when the memory controller serves an external master, the bdip pin becomes an in- put pin. this pin is watched by the memory controller to detect when the burst is ter- minated. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-25 figure 10-18 synchronous external master configuration for gpcm?h andled memory devices memory address ce oe w data address csx oe we /be data synchronous external master ts ta ta ts addr data bdip bdip bdip burst note that the memory controller?s bdip line is used as a burst_in_progress signal. burst burst mts ts MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-26 figure 10-19 synchronous external master basic acces s (gpcm controlled) note that since the MPC555 / mpc556 has only 24 address pins, the eight most sig- nificant internal address lines are driven as 0x0000_0000, and so compared in the memory controller?s regions. clock addr[0:31] cs we /be oe data ts ta address match & compare memory device access rd/wr burst tsize mts f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-27 10.8 programming model the following registers are used to control the memory controller. note: in all subsequent registers bit tables, if two reset values are given: the upper is for cs x, x = 1, 2, 3, and the lower is dedicated to cs [0]. 10.8.1 general memory controller programming notes 1. in the case of an external master that accesses an internal MPC555 / mpc556 module (in slave or peripheral mode), if that slave device address also matches one of the memory controller?s regions, the memory controller will not issue any cs for this access, nor will it terminate th e cycle. thus, this practice should be avoided. be aware also that any internal slave access prevents memory con- troller operation. 2. if the memory controller serves an external master, then it can support access- es to 32-bit port devices only. this is because the MPC555 / mpc556 external bus interface cannot initiate extra cycl es to complete an access to a smaller port-size device as it does not own the external bus. 3. when the seta bit in the base register is set, then the timing programming for the various strobes (cs , oe and we /be ) may become meaningless. table 10-5 memory controller address map address register 0x2f c100 base register bank 0 (br0) 0x2f c104 option register bank 0 (or0) 0x2f c108 base register bank 1 (br1) 0x2f c10c option register bank 1 (or1) 0x2f c110 base register bank 2 (br2) 0x2f c114 option register bank 2 (or2) 0x2f c118 base register bank 3 (br3) 0x2f c11c option register bank 3 (or3) 0x2f c120 ? 0x13f reserved 0x2f c140 dual-mapping base register (dmbr) 0x2f c144 dual-mapping option register (dmor) 0x2f c148 ? 0x2f c174 reserved 0x2f c178 memory status register (mstat) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-28 10.8.2 memory controller status registers (mstat) , 10.8.3 memory controller base registers (br0 ? br3) , * reset value is determined by the value on the internal data bus during reset. ** the br0 reset value is determined by the value on the internal data bus during reset (reset-configuration word). the reset value of the v bit of br1-3 = 0. mstat ? memory controller status register 0x2f c178 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved wper 0 wper 1 wper 2 wper 3 reserved hard reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 10-6 mstat bit descriptions bit(s) name description 0:7 ? reserved 8:11 wper0 ? wper3 write protection error for bank x. this bit is asserted when a write-protect error occurs for the associated memory bank. a bus monitor (responding to tea assertion) will, if enabled, prompt the user to read this register if ta is not asserted during a write cycle. wperx is cleared by writ- ing one to the bit or by performing a system reset. writing a zero has no effect on wper. 12:15 ? reserved br0 ? br3 ? memory controller base registers 0 ? 3 0x2f c100, c108, c110, c118 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ba hreset u u u u u u u u u u u u u u u u 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 ba at ps re- serv ed wp reserved webs tbdip lbdip seta bi v hreset u u u u id[4:5]* 0 0 0 0 0 0 0 1 id3 ** f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-29 table 10-7 br0 ? br3 bit descriptions bit(s) name description 0:16 ba base address. these bits are compared to the corresponding unmasked address signals among addr[0:16] to determine if a memory bank controlled by the memory controller is being access- ed by an internal bus master. (the address types are also compared.) these bits are used in conjunction with the am[0:16] bits in the or. 17:19 at address type. this field can be used to require accesses of the memory bank to be limited to a certain address space type. these bits are used in conjunction with the atm bits in the or. note that the address type field uses only at[0:2] and does not need at[3] to define the memory type space. for a full definition of address types, refer to 9.5.7.6 address types . 20:21 ps port size 00 = 32-bit port 01 = 8-bit port 10 = 16-bit port 11 = reserved 22 ? reserved 23 wp write protect. an attempt to write to the range of addresses specified in a base address register that has this bit set can cause the tea signal to be asserted by the bus-monitor logic (if enabled), causing termination of this cycle. 0 = both read and write accesses are allowed 1 = only read accesses are allowed. the cs x signal and ta are not asserted by the memory controller on write cycles to this memory bank. wper is set in the mstat register if a write to this memory bank is attempted 24:25 ? reserved 26 webs write-enable/byte-select. this bit controls the functionality of the we /be pads. 0 = the we /be pads operate as we 1 = the we /be pads operate as be 27 tbdip toggle-burst data in progress. tbdip determines how long the bdip strobe will be asserted for each data beat in the burst cycles. 28 lbdip late-burst-data-in-progress (lbdip). this bit determines the timing of the first assertion of the bdip pin in burst cycles. note: it is not allowed to set both lbdip and tbdip bits in a region?s base registers; the behavior of the design in such cases is unpredictable. 0 = normal timing for bdip assertion (asserts one clock after negation of ts 1 = late timing for bdip assertion (asserts after the programmed number of wait states 29 seta external transfer acknowledge 0 = ta generated internally by memory controller 1 = ta generated by external logic. note that programming the timing of cs /we /oe strobes may have no meaning when this bit is set 30 bi burst inhibit 0 = memory controller drives bi negated (high). the bank supports burst accesses. 1 = memory controller drives bi asserted (low). the bank does not support burst accesses. note: following a system reset, the bi bit is set in or0. 31 v valid bit. when set, this bit indicates that the contents of the base-register and option-register pair are valid. the cs signal does not assert until the v-bit is set. note that an access to a region that has no v-bit set may cause a bus monitor timeout. note also that following a system reset, the v-bit in br0 reflects the value of id 3 in the reset configuration word. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-30 10.8.4 memory controller option registers (or0 ? or3) , *it is recommended that this field would hold values that are the power of 2 minus 1 (e.g., - 2 3 - 1 = 7 [0b111]). or0 ? or3 ? memory controller option registers 0 ? 3 0x2f c104, c10c, c114, c11c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 am* hreset: (or[1:3]) u u u u u u u u u u u u u u u u hreset (or0) 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 am* atm csnt acs ehtr scy bscy trlx hreset: (or[1:3]): u u u u u u u u u u u u u u u u hreset (or0) 0 0 0 0 0 0 0 0 1 1 1 1 0 1 1 0 table 10-8 or0 ? or3 bit descriptions bit(s) name description 0:16 am address mask. this field allows masking of any corresponding bits in the associated base regis- ter. masking the address bits independently allows external devices of different size address ranges to be used. any clear bit masks the corresponding address bit. any set bit causes the corresponding address bit to be used in comparison with the address pins. address mask bits can be set or cleared in any order in the field, allowing a resource to reside in more than one area of the address map. this field can be read or written at anytime. following a system reset, the am bits are reset in or0. 17:19 atm address type mask. this field masks selected address type bits, allowing more than one address space type to be assigned to a chip-select. any set bit causes the corresponding address type code bits to be used as part of the address comparison. any cleared bit masks the corresponding address type code bit. clear the atm bits to ignore address type codes as part of the address comparison. note that the address type field uses only at[0:2] and does not need at[3] to define the memory type space. following a system reset, the atm bits are reset in or0. 20 csnt chip-select negation time. following a system reset, the csnt bit is reset in or0. 0 = cs / we are negated normally. 1 = cs / we are negated a quarter of a clock earlier than normal following a system reset, the csnt bit is reset in or0. 21:22 acs address to chip-select setup. following a system reset, the acs bits are reset in or0. 00 = cs is asserted at the same time that the address lines are valid. 01 = reserved 10 = cs is asserted a quarter of a clock after the address lines are valid. 11 = cs is asserted half a clock after the address lines are valid following a system reset, the acs bits are reset in or0. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-31 10.8.5 dual mapping ba se register (dmbr) , *the reset value is a reset configuration word value extracted from the indicated internal data bus lines. 23 ehtr extended hold time on read accesses. this bit, when asserted, inserts an idle clock cycle after a read access from the current bank and any MPC555 / mpc556 write accesses or read accesses to a different bank. 0 = memory controller generates normal timing 1 = memory controller generates extended hold timing 24:27 scy cycle length in clocks. this four-bit value represents the number of wait states inserted in the single cycle, or in the first beat of a burst, when the gpcm handles the external memory access. values range from from 0 (0b0000) to 15 (0b1111). this is the main parameter for determining the length of the cycle. the total cycle length may vary depending on the settings of other timing attributes. the total memory access length is (2 + scy) x clocks. if the user has selected an external ta response for this memory bank (by setting the seta bit), then the scy field is not used. note: following a system reset, the scy bits are set to 0b1111 in or0. 28:30 bscy burst beats length in clocks. this field determines the number of wait states inserted in all burst beats except the first, when the gpcm starts handling the external memory access and thus us- ing scy[0:3] as the main parameter for determining the length of that cycle. the total cycle length may vary depending on the settings of other timing attributes. the total memory access length for the beat is is (1 + bscy) x clocks. if the user has selected an external ta response for this memory bank (by setting the seta bit) then bscy[0:3] are not used. 000 = 0-clock-cycle (1 clock per data beat) 001 = 1-clock-cycle wait states (2 clocks per data beat) 010 = 2-clock-cycle wait states (3 clocks per data beat) 011 = 3-clock-cycle wait states (4 clocks per data beat) 1xx = reserved 31 trlx timing relaxed. this bit, when set, modifies the timing of the signals that control the memory de- vices during a memory access to this memory region. relaxed timing multiplies by two the num- ber of wait states determined by the scy and bscy fields. refer to 10.3.5 summary of gpcm timing options for a full list of the effects of this bit on pins timing. 0 = normal timing is generated by the gpcm. 1 = relaxed timing is generated by the gpcm following a system reset, the trlx bit is set in or0. dmbr ? dual mapping base register 0x2f c140 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 ba reserved at reserved hard reset: 0 u u u u u u 0 0 0 0 0 1 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved dmcs dme hard reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 id31* table 10-8 or0 ? or3 bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-32 10.8.6 dual-mappi ng option register , *it is recommended that this field would hold values that are the power of 2 minus 1 (e.g., - 2 3 - 1 = 7 [0b111]). table 10-9 dmbr bit descriptions bit(s) name description 0?reserved 1:6 ba base address. the base address field is compared (along with the address type field) to the address of the address bus to determine whether an address should be dual-mapped by one of the memory banks controlled by the memory controller. these bits are used in conjunction with the am[11:16] bits in the or. bit 10: is cleared at reset. that way, the default range for the dual mapping is 2 mbytes. note that by setting this bit, the range becomes 4 mbyte, which includes memory space beyond the flash eeprom memory. 7:9 ? reserved 10:12 at address type. this field can be used to specify that accesses involving the memory bank are limited to a certain address space type. these bits are used in conjunction with the atm bits in the or. the default value at reset is to map data only. for a full definition of address types, refer to 9.5.7.6 address types . 13:27 ? reserved 28:30 dmcs dual-mapping chip select. this field determines which chip-select pin is assigned for dual mapping. 000 = cs [0] 001 = cs [1] 010 = cs [2] 011 = cs [3] 1xx = reserved 31 dme dual mapping enabled. this bit indicates that the contents of the dual-mapping registers and associated base and option registers are valid and enables the dual-mapping operation. the default value at reset comes from the internal data bus that reflects the reset configuration word. see 10.6 dual mapping of the internal flash eeprom array for more information. 0 = dual mapping is not active 1 = dual mapping is active dmor ? dual-mapping option register 0x2f c144 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 am * reserved atm reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-33 table 10-10 dmor bit descriptions bit(s) name description 0?reserved 1:6 am address mask. the address mask field of each option register provides for masking any of the corresponding bits in the associated base register. by masking the address bits independent- ly, external devices of different size address ranges can be used. any clear bit masks the cor- responding address bit. any set causes the corresponding address bit to be used in the comparison with the address pins. address mask bits can be set or cleared in any order in the field, allowing a resource to reside in more than one area of the address map. this field can be read or written at any time. 7:9 ? reserved 10:12 atm address type mask. this field can be used to mask certain address type bits, allowing more than one address space type to be assigned to a chip select. any set bit causes the corre- sponding address type code bits to be used as part of the address comparison. any cleared bit masks the corresponding address type code bit. to instruct the memory controller to ignore ad dress type codes as part of the address compar- ison, clear the atm bits. note: following a system reset, the atm bits are cleared in dmor, except the atm2 bit. this means that only data accesses are dual mapped. refer to the address types definition in table 9-8 . 13:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory controller motorola user?s manual rev. 15 october 2000 10-34 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-1 section 11 l-bus to u-bus interface (l2u) the l-bus to u-bus interface unit (l2u) provides an interface between the load/store bus (l-bus) and the unified bus (u-bus). the l2u module includes the data memory protection unit (dmpu), which provides protection for data memory accesses. the l2u is bi-directional. it allows load/store accesses not intended for the l-bus data ram to go to the u-bus. it also allows code execution from the l-bus data ram and read/write accesses from the u-bus to the l-bus. the l2u directs bus traffic between the l-bus and the u-bus. when transactions start concurrently on both buses, the l2u interface arbitrates to select which transaction is handled. the top priority is assigned to u-bus to l-bus accesses; lower priority is as- signed to the load/store accesses by the rcpu. 11.1 general features  non-pipelined master and slave on u-bus ? does not start two back-to-back accesses on the u-bus ? supports the u-bus pipelining by starting a cycle on the u-bus when u-bus pipe depth is zero or one ? does not accept back-to-back accesses from the u-bus master  non-pipelined master and slave on the l-bus  generates module selects for l-bus memory-mapped resources within a pro- grammable, contiguous block of storage  programmable data memory protection unit (dmpu)  l-bus and u-bus snoop logic for powerpc reservation protocol  l2u does not support dual mapping of l-bus or imb3 space  show cycles for rcpu accesses to the sram (none, all, writes) ? protection for sram a ccesses from the u-bus side (all accesses to the sram from the u-bus side are blocked once the sram protection bit is set) 11.2 dmpu features  supports four memory regions whose base address and size can be programmed ? available sizes are 4 kbytes, 8 kbytes, 16 kbytes, 32 kbytes, 64 kbytes, 128 kbytes, 256 kbytes, 512 kbytes, 1 mbyte, 2 mbytes, 4 mbytes, 8 mbytes, and 16 mbytes ? region must start on the specified region size boundary ? overlap between regions is allowed  each of the four regions supports the following attributes:  access protection: user or supervisor  guarded attribute: speculative or non-speculative  enable/disable option  read only option f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-2  supports a default global entry for memory space not covered by other regions: ? default access protection ? default guarded attribute  interrupt generated upon: ? access violation ? load from guarded region ? write to read-only region  the powerpc msr[dr] bit (data relocate) controls dmpu protection on/off op- eration  programming is done using powerpc?s mtspr/mfspr instructions to/from imple- mentation specific special purpose registers.  no protection for accesses to the sram module on the l-bus (sram has its own protection options) 11.3 l2u block diagram figure 11-1 shows a block diagram of the l-bus to u-bus interface. figure 11-1 l2u bus in terface block diagram 11.4 modes of operation the l2u module can operate in the following modes:  normal mode  reset operation  factory test mode  peripheral mode u-bus interface l-bus interface u-bus address decode reservation control dmpu l-bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-3 11.4.1 normal mode in normal mode (master or slave) the l2u module acts as a bi-directional protocol translator. in master mode the cpu is fully operational, and there is no external master access to the u-bus. slave mode enables an external master to access any internal bus slave while the cpu is fully operational. the l2u transfers load/store accesses from the rcpu to the u-bus and the read/write accesses by the u-bus master to the l-bus. in addition to the bus protocol translation, the l2u supports other functions such as show cycles, data memory protection and powerpc reservation protocol. when a load from the u-bus resource or store to the u-bus resource is issued by the rcpu, it is compared against the dmpu region access (address and attribute) com- parators. if none of the access attributes are violated, the access is directed to the u- bus by the l2u module. if the dmpu detects an access violation, it informs the error status to the master initiating the cycle. when show cycles are enabled, accesses to all of the l-bus resources by the rcpu are made visible on the u-bus side by the l2u. the l2u is responsible for handling the effects of reservations on the l-bus and the u-bus. for the l-bus and the u-bus, the l2u detects reservation losses and up- dates the rcpu core with the reservation status. 11.4.2 reset operation upon soft reset assertion, the l2u goes to an idle state and all pending accesses are ignored. the l2u module control registers are not initialized on the assertion of a soft reset, keeping the system configuration unchanged. upon assertion of hard reset, the l2u control registers are initialized to their reset states. while reset (hard or soft) is asserted on the u-bus, the l2u asserts the corresponding l-bus reset signals. the l2u also drives the reset configuration word from the u-bus to the l-bus upon assertion of hard reset. 11.4.3 factory test mode factory test mode is a special mode of operation that allows access to the internal modules for testing. this mode is not intended for general use and is not supported for normal applications. 11.4.4 peripheral mode in the peripheral mode of operation the rcpu is shut down and an alternative master on the external bus can perform accesses to any internal bus (u-bus and l-bus) slave. the external master can also access the in ternal powerpc special registers that are located in l2u. in order to access one of these powerpc registers the emcr[cont] bit in the usiu must be cleared. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-4 11.5 data memory protection the data memory protection unit (dmpu) in the l2u module provides access protec- tion for the memory regions on the u-bus side from load/store accesses by the rcpu. (only u-bus space is protected.) the dmpu does not protect powerpc register ac- cesses initiated by the rcpu on the l-bus. the user can assign up to four regions of access protection attributes and can assign global attributes to any space not included in the active regions. when it detects an access violation, the l2u generates an ex- ception request to the cpu. figure 11-2 dmp ba sic functional diagram 11.5.1 functiona l description data memory protection is assigned on a regional basis. default manipulation of the dmpu is done on a global region. the dmpu has control registers which contain the following information: region protection on/off, region base address, region size, and the region?s access permissions. each region?s protection attributes can be turned on/ off by configuring the enable attribute bit (enrx) located in the global region attribute register. during each load/store access from the rcpu core to the u-bus, the address is com- pared to the value in the region base address register of each enabled region. any ac- cess that matches the specific region within its appropriate size, as defined by the region size field (rs) of the region attribute register, sets a match indication. region0 protection/attribute exception logic specific error interrupts region to core address access attribute region1 protection/attribute region2 protection/attribute region3 protection/attribute global protection/attribute access region0 address and size region1 address and size region2 address and size region3 address and size granted match select msr dr protection/attribute f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-5 when more than one match indication occurs, the effective region is the region with the highest priority. priority is determined by region number; highest priority corre- sponds to the lowest region number. when no match occurs, the effective region is the global region. the global region has the lowest priority. the region attribute register also contains the region?s protection fields. the protection field (pp) of the effective region is compar ed to the access attributes. if the attributes match, the access is permitted. when the access is permitted, a u-bus access may be generated according to the specific attribute of the effective region. when the access by the rcpu is not permitted, the l2u module asserts a data mem- ory storage exception to the rcpu. for speculative load/store accesses from the rcpu to a region marked as guarded (g bit of region attribute register is set) , the l2u asks the rcpu to retry the l-bus cycle until either the access is not speculative, or it is canceled by the rcpu. in the case of attempted accesses to a guarded region together with any other protec- tion violation (no access), the l2u retries the access. the l2u handles this event as a data storage violation only when the access becomes non-speculative. note that access protection is active on ly when the powerpc?s msr[dr] = 1. when msr[dr] = 0, dmpu exceptions are disabled, all accesses are considered to be to a guarded memory area, and no speculative accesses are allowed. in this case, if the l- bus master [rcpu] initiates a non-sram cycle (access through the l2u) that is marked speculative, the l2u asks the rcpu to retry the l-bus cycle until either the access is not speculative, or it is canceled by the rcpu core. note that the programmer must not overlap the sram memory space with any en- abled region. overlapping an enabled region with sram memory space disables the l2u data memory protection for that region. if an enabled region overlaps with the l-bus space, the dmpu ignores all accesses to addresses within the l-bus space. if an enabled region overlaps with powerpc regis- ter addresses, the dmpu ignores any access marked as a powerpc access. 11.5.2 associated registers the following registers are used to control the dmpu of the l2u module. all the reg- isters are special purpose registers which are accessed via the powerpc mtspr / mf- spr instructions. the registers are also accessed by an external master when emcr[cont] = 0. see 11.8 l2u programming model for register diagrams and bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-6 . caution the appropriate dmpu registers must be programmed before the msr[dr] bit is set. otherwise, dmpu operation is not guaranteed. program the region base address in the l2u_rbax registers to the lower boundary of the region specified by the corresponding l2u_rax[rs] field. if the region base ad- dress does not correspond to the boundary of the block size programmed in the l2u_rax, the dmpu snaps the region base to the lower boundary of that block. for example, if the block size is programmed to 16 kbytes for region zero (i.e. l2u_ra0[rs] = 0 x 3) and the region base address is programmed to 0x1fff(i.e., l2u_rba0[rba] = 0 x 1), then the effective base address of region zero is 0 x 0. see figure 11-3 . figure 11-3 region ba se address example it is the user?s responsibility to program only legal region sizes. the l2u does not check whether the value is le gal. if the user programs an illegal region size, the region calculation may not be successful. table 11-1 dmpu registers name description l2u_rba0 region base address register 0 l2u_rba1 region base address register 1 l2u_rba2 region base address register 2 l2u_rba3 region base address register 3 l2u_ra0 region attribute register 0 l2u_ra1 region attribute register 1 l2u_ra2 region attribute register 2 l2u_ra3 region attribute register 3 l2u_gra global region attribute region 0 (16 kbytes) actual programmed region resulting region 0x0000 0000 0x0000 1fff 0x0000 3fff 0x0000 5fff f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-7 11.5.3 l-bus memory access violations all l-bus slaves have their own access protection logic. for consistency, all storage access violations have the same terminatio n result. thus access violations for load/ store accesses started by the rcpu always have the same termination from all slaves: assertion of the data storage exception. all other l-bus masters cause ma- chine check exceptions. 11.6 reserv ation support the rcpu storage reservation protocol supports a multi-level bus structure. for each local bus, storage reservation is handled by the local reservation logic. the protocol tries to optimize reservation cancellation such that a powerpc processor (rcpu) is notified of storage reservation loss on a remote bus (u-bus, imb or external bus) only when it has issued a stwcx cycle to that address. that is, the reservation loss indica- tion comes as part of the stwcx cycle. 11.6.1 the rese rvation protocol the reservation protocol operates under the following assumptions:  each processor has at most 1 reservation flag  a lwarx instruction sets the reservation flag  another lwarx instruction by same processor cl ears the reservation flag related to a previous lwarx instruction and sets again the reservation flag  a stwcx instruction by same processo r clears the reservation flag  a store instruction by same processor does not clear the reservation flag  some other processor (or other mechanism) store to an address with an existing reservation clears the reservation flag  in case the storage reservation is lost, it is guaranteed that stwcx will not modify the storage 11.6.2 l2u rese rvation support the l2u is responsible for handling the effects of reservations on the l-bus and the u-bus. for the l-bus and the u-bus, the l2u detects reservation losses. the reservation logic in the l2u performs the following functions:  snoops accesses to all l-bus and u-bus slaves  holds one reservation (address) for the core  sets the reservation flag when the cpu issues a load-with-reservation request the unit for reservation is one word. a byte or half-word store request by another mas- ter will clear the reservation flag. a load-with-reservation request by the cpu updates the reservation address related to a previous load-with-reservation request and sets the reservation flag for the new location. a store-with-reservation request by the cpu clears the reservation flag. a store request by the cpu does not clear the flag. a store request by some other master to the reservation address clears the reservation flag. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-8 if the storage reservation is lost, it is guaranteed that a store-with-reservation request by the cpu will not modify the storage. the l2u does not start a store-with-reservation cycle on the u-bus if the reserved lo- cation on the u-bus has been touched by another master. the l2u drives the reser- vation status back to the core. when the reserved location in the sram on the l-bus is touched by an alternate mas- ter, on the following clock, the l2u indicates to the cpu that the reservation has been touched. on assertion of the cancel-reservation signal, the rcpu clears the internal reservation bit. if an stwcx cycle has been issued at the same time, the rcpu aborts the cycle. storage reservation is set regardless of the termination status (address or data phase) of the lwarx access. storage reservation is cleared regardless of the data phase ter- mination status of the stwcx access if the address phase is terminated normally. storage reservation will be cleared regardle ss of the data phase termination status of the write requests by another master to the reserved address if the address phase of the write access is terminated normally on the destination (u-bus/l-bus) bus. if the programmable memory map of the part is modified between a lwarx and a stwcx instruction, the reservation is not guaranteed. 11.6.3 reserved location (bus) and possible actions once the cpu core reserves a memory location, the l2u module is responsible for snooping l-bus and u-bus for possible intrusion of the reserved location. under cer- tain circumstances, the l2u depends on the usiu or the uimb to provide status of res- ervation on external bus and the imb3 respectively. table 11-2 lists all reservation protocol cases supported by the l2u snooping logic. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-9 11.7 l-bus show cycle support the l2u module provides support for l-bu s show cycles. l-bus show cycles are ex- ternal visibility cycles that reflect activity on the l-bus that would otherwise not be vis- ible to the external bus. l-bus show cycles are software controlled. 11.7.1 programming show cycles l-bus show cycles are disabled during reset and must be configured by writing the ap- propriate bits in the l2u_mcr control regi ster. l-bus show cycles are programmed by setting the lshow[0:1] bits in the l2u_mcr. the table 11-3 shows the configura- tions of the lshow[0:1] bits. 11.7.2 performance impact when show cycles are enabled in the l2u module, there is a performance penalty on the l-bus. this occurs because the l2u module does not support more than one ac- cess being processed at any time. to ensure that only one access at a time can be table 11-2 reserv ation snoop support reserved location on intruding alternate master action taken on stwcx cycle l-bus l-master request to cancel the reservation. 1 notes: 1. if the rcpu tries to modify ( stwcx ) that location, the l2u does not have enough time to stop the write access from completing. in this case, the l2u will drive cancel-reservation signal back to the core as soon as it comes to know that the alternate master on the u-bus has touched the reserved location. u-master request to cancel the reservation. u-bus l-master block stwcx 2 2. if the rcpu tries to modify ( stwcx ) that location, the l2u does not start the cycle on the u-bus and it communicates to the core that the current write has been aborted by the slave with no side effects. u-master block stwcx external bus l-master block stwcx u-master block stwcx ext-master transfer status 3 3. if the rcpu tries to modify ( stwcx ) that location, the l2u runs a write-cycle-with-reservation request on the u-bus. the l2u samples the status of the reservation along with the u-bus cycle termination signals and it communicates to the core if the current write has been aborted by the slave with no side effects. imb3 l-master block stwcx u-master block stwcx imb3-master transfer status table 11-3 l2u_mcr lshow modes lshow action 00 disable l-bus show cycles 01 show address and data of all l-bus space write cycles 10 reserved (disable l-bus show cycles) 11 show address and data of all l-bus space read and write cycles f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-10 processed, and not lose an l-bus access that would have been show cycled, the l2u module will arbitrate for the l-bus whenever it is processing any access. this l-bus arbitration will prevent any other l-bus master from starting a cycle that might turn out to be a qualifiable l-bus show cycle. for l-bus show cycl es, the minimum perfo rmance impact on the l-bus will be three clocks. this minimum impact assumes that the l-bus slave access is a 1-clock access, and the l2u module acquires immediate bus grant on the u-bus. the l2u has to wait two clocks before completing the show cycle on the u-bus, thus using up five clocks for the complete process. a retried access on the l-bus (no address acknowledge) that qualifies to be show cy- cled, will be accepted when it is actually acknowledged. this will cause a 1-clock delay before an l-bus master can retry the access on the l-bus, because the l2u module will release l-bus one clock later. l2u asserts the internal bus request signal on the u-bus for a minimum of two clocks when starting a show cycle on the u-bus. 11.7.3 show cycle protocol the l2u module behaves as both a master and a slave on the u-bus during show cy- cles. the l2u starts the u-bus transfer as a a bus master and then completes the ad- dress phase and data phase of the cycle as a slave. the l2u follows u-bus protocol of in-order termination of the data phase. the usiu can control the start of show cycl es on the u-bus by asserting the no-show cycle indicator. this will caus e the l2u module to release the u-bus for at least one clock before retrying the show cycle. 11.7.4 l-bus write show cycle flow the l2u performs the following sequence of actions for an l-bus-write show cycle. 1. arbitrates for the l-bus to preven t any other l-bus cycles from starting 2. latches the address and the data of the l-bus access, along with all address attributes 3. waits for the termination of the l-bus access and latches the termination status (data error) 4. arbitrate for the u-bus, and when granted, starts the u-bus access, asserting show cycle request on the u-bus, along with address, attributes and the write data. the l2u module provides address recognize and acknowledgment for the address phase. if the no-show cycle indicator from the u-bus is asserted, the l2u does not start the show cycle. t he l2u module releases the u-bus until the no-show cycle indicator is negated and then arbitrates for the u-bus again. 5. when the l2u module has u-bus data bus grant, it drives the data phase ter- mination handshakes on the u-bus. 6. releases the l-bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-11 11.7.5 l-bus read show cycle flow the l2u performs the following sequence of actions for an l- bus read show cycle. 1. arbitrates for the l-bus to preven t any other l-bus cycle from starting 2. latches the address of the l-bus access, along with all address attributes 3. waits for the data phase termination on the l-bus and latch the read data, and the termination status from the l-bus 4. arbitrate for the u-bus, and when granted, starts the u-bus access, asserting the show cycle request on the u-bus, al ong with address attributes. the l2u module provides address recognize/acknowledgment for the address phase. if the no-show cycle indicator from the u-bus is asserted, the l2u does not start the show cycle. the l2u module releases the u-bus until the no-show cycle in- dicator is negated and then arbitrates for the u-bus again. 5. when the l2u module has u-bus data bus grant, it drives the read data and the data phase termination handshakes on the u-bus 6. release the l-bus. 11.7.6 show cycl e support guidelines the following are the guidelines for l2u show cycle support:  the l2u module provides address and data for all qualifying l-bus cycles when the appropriate mode bits are set in the l2u_mcr.  the l2u-module-only show cycles l-bus activity that is not targeted for the u-bus or the l2u module internal registers, irrespective of the termination status of such activity.  the l2u module does not show cycle any access to a powerpc special purpose register.  the l2u does not start a show cycle for an l-bus access that is retried. this de- cision to not start the show cycle caus es a clock delay before the cycle can be retried, since the l2u module will have arbitrated away the l-bus immediately on detecting the show cycle, before th e retry information is available.  the l2u module does not show cycle any l-bus activity that is aborted.  the l2u module backs off the u-bus if th e usiu inhibits show cycle activity on the u-bus.  the l2u does not show cycle any l-bus addresses that fall in the l-bus sram address space if the sram protection [sp] bit is set in the l2u_mcr. table 11-4 summarizes the l2u show cycle support. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-12 1. l-bus slave includes all address in the l-bus address space. 2. l2u indicates l2u registers. 3. u-bus/e-bus refers to all destinations through the l2u interface. 4. there will be a 1-clock turnaround because the l-bus retry information is not available in time to negate the l- bus arbitration. note: x indicates don?t care conditions. 11.8 l2u programming model the l2u control registers control the l2u bus interface and the dmpu. they are ac- cessible via the MPC555 / mpc556 mtspr and mfspr instructions. they are also ac- cessible by an external master when emcr[cont] bit is cleared. l2u control registers are accessible from both the l-bus side and the u-bus side in one clock cy- cle. as with all sprs, l2u registers are accessible in supervisor mode only. any unimplemented bits in l2u registers return 0?s on a read, and the writes to those register bits are ignored. the table 11-5 shows l2u registers along with their spr numbers and hexadecimal addresses which are used to access l2u registers during a peripheral mode access. . table 11-4 l2u show cycle support chart case destination lb aack lb abort comments 1 l-bus slave 1 no x not show cycled [cycle will be retried one clock later] 4 2 l2u 2 x x not show cycled 3 u-bus/e-bus 3 x x not show cycled 4 l-bus slave yes no show cycled 5 l-bus slave yes yes not show cycled [l-bus will be released next clock] table 11-5 l2u (ppc ) register decode name spr # spr5:9 spr0:4 address for external master access access description l2u_mcr 568 10001 11000 0x0000_3110 supr l2u module configuration register l2u_rba0 792 11000 11000 0x0000_3180 supr region base address register 0 l2u_rba1 793 11000 11001 0x0000_3380 supr region base address register 1 l2u_rba2 794 11000 11010 0x0000_3580 supr region base address register 2 l2u_rba3 795 11000 11011 0x0000_3780 supr region base address register 3 l2u_ra0 824 11001 11000 0x0000_3190 supr region attribute register 0 l2u_ra1 825 11001 11001 0x0000_3390 supr region attribute register 1 l2u_ra2 826 11001 11010 0x0000_3590 supr region attribute register 2 l2u_ra3 827 11001 11011 0x0000_3790 supr region attribute register 3 l2u_gra 536 10000 11000 0x0000_3100 supr global region attribute f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-13 for these registers a bus cycle will be perfor med on the l-bus and the u-bus with the address as shown in table 11-6 . . 11.8.1 u-bus access the l2u registers are accessible from the u-bus side only if it is a supervisor mode data access and the register address is correct and it is indicated on the u-bus that it is a ppc register access. a user mode access, or an access marked as instruction, to l2u registers from the u- bus side will cause a data error on the u-bus. 11.8.2 transaction size all l2u registers are defined by powerpc architecture as being 32-bit registers. there is no powerpc instruction to access either a half word or a byte of the special purpose register. all l2u registers are only word accessible (read and write) in peripheral mode. a half-word or byte access in peripheral mode will result in a word transaction. 11.8.3 l2u module configur ation register (l2u_mcr) the l2u module configuration register (l2u_mcr) is used to control the l2u module operation. table 11-6 hex address for spr cycles a0:17 a18:22 a23:27 a28:31 0 spr0:4 spr5:9 0 l2u_mcr ? l2u module configuration register spr 568 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 sp lshow reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-14 11.8.4 region base address registers (l2u_rbax) the region base address register defines the base address of a specific region pro- tected by the data memory protection unit. there are four registers (x = 0...3), one for each supported region. x = undefined table 11-7 l2u_mcr bit descriptions bit(s) name description 0sp sram protection (sp) bit is used to protect the sram on the l-bus from u-bus accesses. this bit can be set or cleared from the l-bus side. it can be set or cleared from the u-bus side when factory test mode is enabled. when not in factory test mode, any attempt to set or clear the sp bit from the u-bus side has no affect. once this bit is set, the l2u blocks all sram accesses initiated by the u-bus masters and the access is terminated with a data error on the u-bus. if l-bus show cycles are enabled, setting this bit will disable l-bus sram show cycles. 1:2 lshow lshow bits are used to configure the show cycle mode for cycles accessing the l-bus slave e.g. sram 00 = disable show cycles 01 = show address and data of all l-bus space write cycles 10 = reserved 11 = show address and data of all l-bus space read and write cycles 3:31 ? reserved l2u_rbax ? l2u region x base address register spr 792 ? 795 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 rba reset: x x x x x x x x x x x x x x x x 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 rba reserved reset: x x x x 0 0 0 0 0 0 0 0 0 0 0 0 table 11-8 l2u_rba x bit desc riptions bit(s) name description 0:19 rba region base address. the rba field provides the base address of the region. the region base address should start on the block boundary for the corresponding block size attribute specified in the region attribute register (l2u_rax). 20:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-15 11.8.5 region attribut e registers (l2u_rax) each region attribute register defines the protection attributes associated with a spe- cific region protected by the data memory protection unit. there are four registers (x = 0...3), one for each supported region. 11.8.6 global region attribute register the global region attribute register defines the protection attributes associated with the memory region which is not protected under the four dmpu regions. this register also provides enable/disable control for the four dmpu regions. l2u_rax ? l2u region x attribute register spr 824 ? 827 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved rs reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 rs pp reserved g reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 11-9 l2u_ra x bit descriptions bit(s) name description 0:7 ? reserved 8:19 rs region size 0000_0000_0000 = 4 kbytes 0000_0000_0001 = 8 kbytes 0000_0000_0011 = 16 kbytes 0000_0000_0111 = 32 kbytes 0000_0000_1111 = 64 kbytes 0000_0001_1111 = 128 kbytes 0000_0011_1111 = 256 kbytes 0000_0111_1111 = 512 kbytes 0000_1111_1111 = 1 mbyte 0001_1111_1111 = 2 mbytes 0011_1111_1111 = 4 mbytes 0111_1111_1111 = 8 mbytes 1111_1111_1111 = 16 mbytes 20:21 pp protection bits 00 = no supervisor access, no user access 01 = supervisor read/write access, no user access 10 = supervisor read/write access, user read-only access 11 = supervisor read/write access, user read/write access 22:24 ? reserved 25 g guarded attribute 0 = not guarded from speculative accesses 1 = guarded from speculative accesses 26:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 l-bus to u-bus interface (l2u) motorola user?s manual rev. 15 october 2000 11-16 l2u_gra ? l2u global region attribute register spr 536 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 enr0 enr1 enr2 enr3 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved pp reserved g reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 11-10 l2u_g ra bit descriptions bit(s) name description 0 enr0 enable attribute for region 0 0 = region attribute is off 1 = region attribute is on 1 enr1 enable attribute for region 1 0 = region attribute is off 1 = region attribute is on 2 enr2 enable attribute for region 2 0 = region attribute is off 1 = region attribute is on 3 enr3 enable attribute for region 3 0 = region attribute is off 1 = region attribute is on 4:19 ? reserved 20:21 pp protection bits 00 = no supervisor access, no user access 01 = supervisor read/write access, no user access 10 = supervisor read/write access, user read-only access 11 = supervisor read/write access, user read/write access 22:24 ? reserved 25 g guarded attribute 0 = not guarded from speculative accesses 1 = guarded from speculative accesses 26:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-1 section 12 u-bus to imb3 bus interface (uimb) the u-bus to imb3 bus interface (uimb) interface structure is used to connect the cpu internal unified bus (u-bus) to the intermodule bus 3 (imb3). it controls bus com- munication between the u-bus and the imb3. the uimb interface consists of seven submodules that control bus interface timing, address decode, data multiplexing, intrasyst em communication (interrupts), and clock generation to allow communication between u-bus and the imb3. the seven submod- ules are:  u-bus interface  imb3 interface  address decoder  data multiplexer  interrupt synchronizer  clock control  scan control 12.1 features  provides complete interfacing between the u-bus and the imb3: ? 15 bits (32 kbytes) of address decode on imb3 ? 32-bit data bus ? read/write access to imb3 module registers 1 ? interrupt synchronizer ? monitoring of accesses to unimplemented addresses within uimb interface address range ? burst-inhibited accesses to the modules on imb3  support of 32-bit and 16-bit bius for imb3 modules  half and full speed operation of imb3 bus with respect to u-bus  simple ?slave only? u-bus interface implementation ? supports alternate master on imb3 ? transparent mode operation not supported ? relinquish and retry not supported  supports scan control for modules on the imb3 and on the u-bus note modules on the imb3 bus can only be reset by sreset . some mod- ules may have a module reset, also. 1. the user should not perform instruction fetches from modules on the imb. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-2 12.2 uimb block diagram figure 12-1 uimb interf ace module block diagram 12.3 clock module the clock module within the uimb interface generates the imb clock. the imb clock is the main timing reference used within the imb modules. the imb clock is often re- ferred to in the imb module sections as f sys or imb3 clock. the imb clock is generated based on the stop and hspeed bits in the uimb module configuration register (umcr). if the stop bit is 1, the imb clock is not generated. if the stop bit is 0 and the hspeed bit is 0, the imb clock is generated as the inversion of the internal system clock. this is the same frequency as the clkout if ebdf is 0b00 ? full speed external bus. (see figure 12-2 .) if the hspeed bit is 1, then the imb clock is one-half of the internal system frequency. (see figure 12-3 .) table 12-1 stop and hspeed bit functionality stop hspeed functionality 0 0 imb bus frequency is the same as u-bus frequency. 0 1 imb bus frequency is half that of the u-bus frequency. 1 x imb clock is not generated. address decode data mux interrupt synchronizer scan control u-bus interface imb3 interface clock control u-bus imb3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-3 figure 12-2 imb clock ? full-speed imb bus figure 12-3 imb clock ? half-speed imb bus table 12-2 shows the number of system clock cycles that the uimb requires to per- form each type of bus cycle. it is assumed in this table that the imb3 is available to the uimb at all times (fastest possible case). note the uimb interface dynamically interprets the port size of the ad- dressed module during each bus cycle, allowing bus transfers to and from 16-bit and 32-bit imb modules. during a bus transaction, the slave module on the imb signals its po rt size (16- or 32-bit) via an in- ternal port size signal. 12.4 interrupt operation the interrupts from the modules on the imb3 are propagated to the interrupt controller in the usiu through the uimb interface. the uimb interrupt synchronizer latches the interrupts from the imb3 and drives them onto the u-bus, where they are latched by the usiu interrupt controller. table 12-2 bus cycles and system clock cycles bus cycle (from u-bus transfer start to u-bus transfer acknowledge) number of system clock cycles full speed half speed normal write 4 6 normal read 4 6 dynamically-sized write 6 10 dynamically-sized read 6 10 imb clock clkout t1 t2 t3 t4 t1 t2 t3 t4 t1 t2 t3 t4 t1 t2 t3 t4 b4 b1 b2 b3 b4 b1 b2 b3 imb clock clkout t1 t2 t3 t4 t1 t2 t3 t4 t1 t2 t3 t4 t1 t2 t3 t4 b4 b1 b2 b3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-4 12.4.1 interrupt sour ces and levels on imb the imb3 has eight interrupt lines. there can be a maximum of 32 levels of interrupts from the modules on imb bus. a single module can be a source for more than one in- terrupt . for example, the qsmcm can generate two interrupts (one for qsci1/qsci2 and another for qspi). in this case, the qsmcm has two interrupt sources. each of these two sources can assert the interrupt on any of the 32 levels. it is possible for multiple interrupt sour ces to assert the same interrupt level . to reduce the latency, it is a good practice for each in terrupt source to assert an interrupt on a level on which no other interrupt source is mapped. 12.4.2 imb interrupt multiplexing the imb has 10 lines for interrupt support. eight lines are for interrupts and two for ilbs. these lines will transfer the 32 interrupt levels to the interrupt synchronizer. a diagram of the interrupt flow is shown in figure 12-4 . figure 12-4 interrupt synchronizer signal flow latching 32 interrupt levels using eight imb interrupt lines is accomplished with a 4:1 time-multiplexing scheme. the uimb drives two signals (ilbs[0:1]) with a multiplexer select code that tells all interrupting modules on the imb about which group of signals to drive during the next clock. 12.4.3 ilbs sequencing the imb interface drives the ilbs signals continuously, incrementing through a code sequence (00, 01, 10, 11) once every clo ck the irqmux[0:1] bits in the imb module configuration register select which type of multiplexing the interrupt synchronizer will perform. the irqmux field can select time-multiplexing protocols for 8, 16, 24 or 32 interrupt sources . these protocols would take one, two, three or four clocks, respec- tively. uipend imb interrupt 8 block byte count byte-enables (24:31) (16:23) (8:15) (0:7) 8 to imb byte-enable 2 4 u-bus interrupt u-bus data[0:31] level[0:7] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-5 table 12-4 shows ilbs sequencing. programming irqmux[0:1] to 00 disables time multiplexing. in this case the ilbs lines remain at 00 at all times, as shown in table 12-4 . in this mode, no interrupts from imb modules which assert on levels 8 through 31 are ever latched by the interrupt synchronizer. time multiplexing is disabled during reset, but the reset default value enables ti me multiplexing as soon as reset is re- leased if the reset default value is not 00. the timing for the scheme and the values of ilbs and the interrupt levels driven onto the imb irq lines are shown in figure 12-5 . this scheme causes a maximum latency of four clocks and an average latency of two clocks before the interrupt request can reach the interrupt synchronizer. figure 12-5 time-multiplex ing protocol for irq pins the irqmux bits determine how many levels of imb interrupts are sampled. refer to table 12-4 . . table 12-3 ilbs signal functionality ilbs[0:1] description 00 imb interrupt sources mapped onto 0:7 levels will drive interrupts onto imb irq [0:7] 01 imb interrupt sources mapped onto 8:15 levels will drive interrupts onto imb irq [0:7] 10 imb interrupt sources mapped onto 16:23 levels will drive interrupts onto imb irq [0:7] 11 imb interrupt sources mapped onto 24:31 levels will drive interrupts onto imb irq [0:7] table 12-4 irqm ux functionality irqmux[0:1] ilbs sequence description 00 00, 00, 00..... latch 0:7 imb interrupt levels 01 00, 01, 00, 01.... latch 0:15 imb interrupt levels 10 00, 01, 10, 00, 01, 10,..... latch 0:23 imb interrupt levels 11 00, 01, 10, 11, 00, 01, 10, 11,.... latch 0:31 imb interrupt levels imb clock ilbs [0:1] imb lvl[0:7]] lv l 0:7 00 01 11 10 lvl 8:15 lv l 16:23 lv l 24:31 lv l 0:7 00 01 11 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-6 12.4.4 interrupt synchronizer the interrupt synchronizer latches the 32 leve ls of interrupts from the imb bus into a register which can be read by the cpu or other u-bus master. since there are only eight lines for interrupts on the imb and 32 levels of interrupts are possible, the 32 in- terrupt levels are multiplexed onto eight im b interrupt lines. apart from latching these interrupts in the register (uipend register), the interrupt synchronizer drives the inter- rupts onto the u-bus, where they are latched by the interrupt controller in the usiu. if imb modules drive interrupts on any of the 24 levels (levels eight through 31), they will be latched in the interrupt pending register (uipend) in the uimb. if any of the reg- ister bits 7 to 31 are set, then bit 7 will be set as well. software must poll this register to find out which of the levels 7 to 31 are asserted. the uipend register contains a status bit for each of the 32 interrupt levels. each bit of the register is a read-only status bit, reflecting the current state of the corresponding interrupt signal. for each of the 32 interrupt levels, a corresponding bit of the uipend register is set. figure 12-4 shows how the eight interrupt lines are connected to the uipend register to represent 32 levels of interrupts. figure 12-6 shows the implementation of the in- terrupt synchronizer. figure 12-6 interrupt sy nchronizer block diagram lv l 8 - 3 1 lvl 0-7 ilbs [0:1] state 4 imbclock uipend reset imb lvl [0:7] machine u-bus interrupt level[0:7] or 24 7 lvl7 8 u-bus 32 data[0:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-7 12.5 programming model table 12-5 lists the registers used for configuring and testing the uimb module. the address offset shown in this table is from the start of the block reserved for uimb reg- isters. as shown in figure 1-3 in 1.3 MPC555 / mpc556 address map , this block be- gins at offset 0x30 7f80 from the start of the MPC555 / mpc556 internal memory map (the last 128-byte sub-block of the uimb interface memory map). any word, half-word or byte access to a 32-bit location within the uimb interface reg- ister decode block that is unimplemented (defined as reserved) causes the uimb in- terface to asserting a data error exception on the u-bus.the entire 32-bit location must be defined as reserved in order for a data error exception to be asserted. unimplemented bits in a register return zero when read. 12.5.1 uimb module confi guration register (umcr) the uimb module configuration register (umcr) is accessible in supervisor mode only. table 12-5 uimb interface register map access base address register s 1 notes: 1. s = supervisor mode only, t = test mode only 0x30 7f80 uimb module configuration register (umcr) see table 12-6 for bit descriptions. ? 0x30 7f84 ? 0x30 7f8c reserved s/t 0x30 7f90 uimb test control register (utstcreg) reserved ? 0x30 7f94 ? 0x30 7f9c reserved s 0x30 7fa0 interrupt request pending (uipend) see 12.5.3 pending interrupt request register (uipend) for bit descriptions. umcr ? uimb module configuration register 0x30 7f80 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 stop irqmux hspee d reserved hreset: 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved hreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-8 12.5.2 test control register (utstcreg) the utstcreg register is used for factory testing only. 12.5.3 pending interrupt re quest register (uipend) the uipend register is a read-only status register which reflects the state of the 32 interrupt levels. the state of the irq0 is shown in bit 0, the state of irq1 is shown in bit 1 and so on. this register is accessible only in supervisor mode. table 12-6 umcr bit descriptions bit(s) name description 0stop stop enable. 0 = enable system clock for imb bus 1 = disable imb system clock to avoid complications at restart and data corruption, system software must stop each slave on the imb before setting the stop bit. software must also ensure that all imb interrupts have been serviced before setting this bit. 1:2 irqmux interrupt request multiplexing. these bits control the multiplexing of the 32 possible interrupt re- quests onto the eight imb interrupt request lines. 00 = disables the multiplexing scheme on the interrupt controller within this interface. what this means is that the imb irq [0:7] signals are non-multiplexed, only providing 8 (0-7) interrupt request lines to the interrupt controller 01 = enables the imb irq control logic to perform a 2-to-1 multiplexing to allow transferring of 16 (0-15) interrupt sources 10 = enables the imb irq control logic to perform a 3-to-1 multiplexing to allow transferring of 24 (0-23) interrupt sources 11 = enables the imb irq control logic to perform a 4-to-1 multiplexing to allow transferring of 32 (0-31) interrupt sources 3 hspeed half speed. the hspeed bit controls the frequency at which the imb3 runs with respect to the u-bus. this is a modify-once bit. software can write the reset value of this bit any number of times. however, once logic 0 is written to this location, any attempt to rewrite this bit to a logic 1 will have no effect. 0 = imb frequency is the same as that of the u-bus 1 = imb frequency is one half that of the u-bus 4:31 ? reserved uipend ? pending interrupt request register 0x30 7fa0 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 lvl0 lvl1 lvl2 lvl3 lvl4 lvl5 lvl6 lvl7 lvl8 lvl9 lvl0 lvl11 lvl12 lvl13 lvl14 lvl15 hreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 lvl16 irq17 lvl18 lvl19 lvl20 lvl21 lvl22 lvl23 lvl24 lvl25 lvl26 lvl27 lvl28 lvl29 lvl30 lvl31 hreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-9 table 12-7 uipend bit descriptions bit(s) name description 0:31 lvlx pending interrupt request level. accessible only in supervisor mode. lvlx identifies the interrupt source as uimb lvlx, where x is the interrupt number. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 u-bus to imb3 bus interface (uimb) motorola user?s manual rev. 15 october 2000 12-10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-1 section 13 queued analog-to-digital converter module-64 the MPC555 / mpc556 includes two independent queued analog-to-digital converter (qadc64) modules. for details of qadc64 operation not included in this section, re- fer to the qadc reference manual (qadcrm/ad) . 13.1 overview the qadc64 consists of an analog front- end and a digital control subsystem, which includes an intermodule bus (imb3) interface block. refer to figure 13-1 . the analog section includes input pins, channel selection logic, an analog multiplexer, and one sample-and-hold analog circuit. the analog conversion is performed by the digital-to-analog converter (dac) resistor-capacitor array, a high-gain comparator, and a successive approximation register (sar). the digital control section contains the conversion sequencing logic. also included are the periodic/interval timer, control and status registers, the conversion command word (ccw) table ram, and the result word table ram. figure 13-1 qadc64 block diagram queue of 10-bit conversion command words (ccw), 64 entries intermodule bus interface digital control 10-bit result table, 64 entries 10-bit to 16-bit result alignment 10-bit analog to digital converter analog input multiplexer and digital pin functions external triggers external mux address up to 16 analog input pins reference inputs analog power inputs imb f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-2 13.2 features each qadc64 module offers the following features:  internal sample and hold  up to 16 analog input channels using internal multiplexing  directly supports up to four external multiplexers (for example, the mc14051)  up to 41 total input channels wit h internal and external multiplexing  programmable input sample time for various source impedances  two conversion command queues with a total of 64 entries  sub-queues possible using pause mechanism  queue complete and pause software interrupts available on both queues  queue pointers indicate current location for each queue  automated queue modes initiated by: ? external edge trigger [queues 1 and 2] and gated mode [queue 1 only] ? periodic/interval timer, within qadc64 module [queues 1 and 2] ? software command [queues 1 and 2]  single-scan or continuous-scan of queues  64 result registers  output data readable in three formats: ? right-justified unsigned ? left-justified signed ? left-justified unsigned  unused analog channels can be used as digital ports 13.3 qadc64 pin functions the two qadc64 modules use the following 38 pins:  two analog reference pins, to which all analog input voltages are scaled (shared by the two modules)  32 analog input pins (16 per module, with three analog inputs per module multi- plexed with multiplex address signals)  two analog power pins (shared by the two modules)  two external trigger pins (shared by the two modules) the 16 channel/port pins in either module can support up to 41 channels when exter- nal multiplexing is used (including internal channels). all of the channel pins can also be used as general-purpose digital port pins. the following paragraphs describe qadc64 pin functions. figure 13-2 shows the qadc64 module pins. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-3 figure 13-2 qadc64 in put and output signals 13.3.1 port a pin functions the eight port a pins can be used as analog inputs, or as a bi-directional 8-bit digital input/output port. 13.3.1.1 port a analog input pins when used as analog inputs, the eight port a pins are referred to as an[59:52]. due to the digital output drivers associated with port a, the analog characteristics of port a are different from those of port b. all of the analog signal input pins may be used for at least one other purpose. 13.3.1.2 port a digital input/output pins port a pins are referred to as pqa when used as a bidirectional 8-bit digital input/out- put port. these eight pins may be used for general-purpose digital input signals or dig- ital output signals. qadc64 pinout an52/ma0/pqa0 an53/ma1/pqa1 an54/ma2/pqa2 an55/pqa3 an56/pqa4 an57/pqa5 an58/pqa6 an59/pqa7 an0/anw/pqb0 an1/anx/pqb1 an2/any/pqb2 an3/anz/pqb3 an48/pqb4 an49/pqb5 an50/pqb6 an51/pqb7 digital results and control analog converter analog multiplexer port a analog inputs, address outputs, digital i/o port b analog inputs, ext mux inputs, digital inputs v sse v dd v ss qadc64 v dda v ssa v rl v rh output driver ground analog references analog power & ground digital power (shared w/ other modules) port a port b etrig1 etrig2 output driver supply v ddh ext mux f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-4 port a pins are connected to a digital input synchronizer during reads and may be used as general purpose digital inputs. since port a read captures the data on all pins, in- cluding those used for digital outputs or analog inputs, the user should employ a ?masking? operation to filter the inappropriate bits from the input byte. each port a pin is configured as an input or output by programming the port data direction register (ddrqa). digital input signal states are read into the portqa data register when ddrqa specifies that the pi ns are inputs. digital data in portqa is driven onto the port a pins when the co rresponding bits in ddrqa specify outputs. 13.3.2 port b pin functions the eight port b pins can be used as analog inputs, or as an 8-bit digital input-only port. refer to the following paragraphs for more information. 13.3.2.1 port b analog input pins when used as analog inputs, the eight port b pins are referred to as an[51:48]/ an[3:0]. since port b functions as analog and digital input-only, the analog character- istics are different from those of port a. all of the analog signal input pins may be used for at least one other purpose. 13.3.2.2 port b digital input pins port b pins are referred to as pqb[7:0] when used as an 8-bit digital input-only port. in addition to functioning as analog input pins, the port b pins are also connected to the input of a synchronizer during reads and may be used as general-purpose digital inputs. since port b pins are input-only, there is no associated data direction register. digital input signal states are read from the portqb data register. since a port b read cap- tures the data on all pins, including those used for analog inputs, the user should em- ploy a ?masking? operation to filter the inappropriate bits from the input byte. 13.3.3 external trigger input pins the qadc64 has two external trigger pins (e trig[2:1]). each of th e two external trig- ger pins is associated with one of the scan queues. when a queue is in external trigger mode, the corresponding external trigger pin is configured as a digital input. 13.3.4 multiplexed address output pins in non-multiplexed mode, the 16 channel pins are connected to an internal multiplexer which routes the analog signals into the a/d converter. in externally multiplexed mode, the qa dc64 allows automatic channel selection through up to four external 1-of-8 multiplexer chips. the qadc64 provides a 3-bit mul- tiplexed address output to the external mu ltiplexer chips to allow selection of one of eight inputs. the multiplexed address output signals ma[2:0] can be used as multiplex address output bits or as general-purpose i/o. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-5 when externally-multiplexed mode is enabled, ma[2:0] are used as the address inputs for up to four 1-of-8 multiplexer chips (for example, the mc14051 and the mc74hc4051). since ma[2:0] are digital outputs in multiplexed mode, the software programmed input/output direction and data for these pins in ddqa[2:0], ddrqa, and pqa[2:0] is ignored, and the value for ma[2:0] is taken from the currently executing ccw. 13.3.5 multiplexed analog input pins in externally-multiplexed mode, four of the port b pins are redefined to each represent a group of eight input channels. refer to table 13-1 . the analog output of each external multiplexer chip is connected to one of the an[w, x, y, z] inputs in order to convert a channel selected by the ma[2:0] multiplexed ad- dress outputs. 13.3.6 voltage reference pins v rh and v rl are the dedicated input pins for the high and low reference voltages. sep- arating the reference inputs from the power supply pins allows for additional external filtering, which increases reference voltag e precision and stability, and subsequently contributes to a higher degree of conversion accuracy. 13.3.7 dedicated analog supply pins v dda and v ssa pins supply power to the analog subsystems of the qadc64 module. dedicated power is required to isolate the sensitive analog circuitry from the normal levels of noise present on the digital power supply. 13.3.8 external digital supply pin each port a pin includes a digital output driver, an analog input signal path, and a dig- ital input synchronizer. the v ss pin provides the ground level for the drivers on the port a pins. v ddh provides the supply level for the drivers on port a pins. 13.3.9 digital supply pins v dd and v ss provide the power for the digital portions of the qadc64, and for all other digital mcu modules. table 13-1 multiplexed analog input channels multiplexed analog input channels anw even-numbered channels from 0 to 14 anx odd-numbered channels from 1 to 15 any even-numbered channels from 16 to 30 anz odd-numbered channels from 17 to 31 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-6 13.4 qadc64 bus interface the qadc64 supports to 8-bit, 16-bit, and 32-bit data transfers, at even and odd ad- dresses. coherency of results read, (ensurin g that all results read were taken consec- utively in one scan) is not guaranteed. for example, if two consecutive 16-bit locations in a result area are read, the qadc64 could change one 16-bit location in the result area between the bus cycles. there is no holding register for the second 16-bit loca- tion. all read and write accesses that require more than one 16-bit access to complete occur as two or more independent bus cycles. depending on bus master protocol, these accesses could include misaligned and 32-bit accesses. normal reads-from and writes-to the qadc64 require two clock cycles. however, if the cpu tries to access locations that are also accessible to the qadc64 while the qadc64 is accessing them, the bus cycle will require additional clock cycles. the qadc64 may insert from one to four wait states in the process of a cpu read from or write to such a location. 13.5 module configuration the qadc64 module configuration register (qadc64mcr) defines freeze and stop mode operation, supervisor space access, and interrupt arbitration priority. unimple- mented bits read zero and writes have no effect. qadc64mcr is typically written once when software initializes the qadc64, and not changed thereafter. refer to 13.12.1 qadc64 module configuration register for register and bit descriptions. 13.5.1 low-power stop mode when the stop bit in qadc64mcr is set, the clock signal to the a/d converter is dis- abled, effectively turning off the analog circui try. this results in a static, low power con- sumption, idle condition. low-power stop mode aborts any conversion sequence in progress. because the bias currents to the analog circuits are turned off in low-power stop mode, the qadc64 requires some recovery time to stabilize the analog circuits after the stop bit is cleared. in low-power stop mode, the biu state machine and logic do not shut down, and the qadc64mcr, the interrupt register (qadc64int), and the test register (qadc64test) are fully accessible and are not reset. the data direction register (ddrqa), port data register (portqa/port qb), and control register zero (qacr0) are not reset and are read-only accessible. the ram is not reset and is not accessible. control register one (qacr1), control register two (qacr2), and the status registers (qasr0 and qasr1) are reset and are read-only accessible. in addition, the periodic/ interval timer is held in reset during stop mode. if the stop bit is clear, low-power stop mode is disabled. the stop bit must be clear to program ccws into ram or read results from ram. 13.5.2 freeze mode the qadc64 enters freeze mode when background debug mode is enabled and a breakpoint is processed. this is indicated by assertion of the freeze line on the f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-7 imb3. the frz bit in qadc64mcr determines whether or not the qadc64 responds to an imb freeze assertion. freeze mode is useful when debugging an application. when the imb freeze line is asserted and the frz bit is set, the qadc64 finishes any conversion in progress and then freezes. depending on when the freeze is as- serted, there are three possible queue freeze scenarios:  when a queue is not executing, the qadc64 freezes immediately  when a queue is executing, the qadc64 completes the current conversion and then freezes  if during the execution of the current conversion, the queue operating mode for the active queue is changed, or a queue 2 abort occurs, the qadc64 freezes im- mediately when the qadc64 enters the freeze mode while a queue is active, the current ccw location of the queue pointer is saved. during freeze, the analog clock, qclk, is held in reset and the periodic/interval timer is held in reset. external trigger events that occur during the freeze mode are not cap- tured. the biu remains active to allow imb access to all qadc64 registers and ram. although the qadc64 saves a pointer to the next ccw in the current queue, the soft- ware can force the qadc64 to execute a different ccw by writing new queue operat- ing modes for normal operation. the qadc64 looks at the queue operating modes, the current queue pointer, and any pending trigger events to decide which ccw to ex- ecute. if the frz bit is clear, assertion of the imb freeze line is ignored. 13.5.3 supervisor/unrestricted address space the qadc64 memory map is divided into two segments: supervisor-only data space and assignable data space. access to supervisor-only data space is permitted only when the cpu is operating in supervisor mode. assignable data space can have either restricted to supervisor-only data space access or unrestricted supervisor and user data space accesses. the supv bit in qadc64mcr designates the assignable space as supervisor or unrestricted. attempts to read or write supervisor-only data space when the cpu is not in supervisor mode cause the bus master to assert the internal transfer error acknowledge (tea ) signal. the supervisor-only data space segment contains the qadc64 global registers, which include qadc64mcr, qadc64test, and qadc 64int. the supervisor/unrestricted space designation for the ccw table, the result word table, and the remaining qadc64 registers is programmable. 13.6 general-purpose i/o port operation qadc64 port pins, when used as general-purpose input, are conditioned by a syn- chronizer with an enable feature. the synchronizer is not enabled until the qadc64 decodes an imb bus cycle which addresses the port data register to minimize the high- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-8 current effect of mid-level signals on the inputs used for analog signals. digital input signals must meet the input low voltage (vil) or input high voltage (vih) specifications. if an analog input pin does not meet the digital input pin specifications when a digital port read operation occurs, an indeterminate state is read. to avoid reading inappro- priate values on analog inputs, the user software should employ a ?masking? opera- tion. during a port data register read, the actual value of the pin is reported when its corre- sponding bit in the data direction register defines the pin to be an input (port a only). when the data direction bit specifies the pin to be an output, the content of the port data register is read. by reading the latch which drives the output pin, software instruc- tions that read data, modify it, and write the result, like bit manipulation instructions, work correctly. there is one special case to consider for digital i/o port operation. when the mux (ex- ternally multiplexed) bit is set in qacr0, the data direction register settings are ig- nored for the bits corresponding to pqa[2:0], the three multiplexed address ma[2:0] output pins. the ma[2:0] pins are forced to be digital outputs, regardless of the data direction setting, and the multiplexed address outputs are driven. the data returned during a port data register read is the value of the multiplexed address latches which drive ma[2:0], regardless of the data direction setting. 13.6.1 port data register qadc64 ports a and b are accessed through two 8-bit port data registers (portqa and portqb). port a pins are referred to as pqa when used as an 8-bit input/output port. port a can also be used for analog inputs an[59:52] and external multiplexer ad- dress outputs ma[2:0]. port b pins are referred to as pqb when used as an 8-bit input-only digital port. port b can also be used for non-multiplexed an[51:48]/an[3:0] and multiplexed anz, any, anx, anw analog inputs. portqa and portqb are unaffected by reset. refer to 13.12.4 port a/b data reg- ister for register and bit descriptions. 13.6.2 port data direction register the port data direction register (ddrqa) is associated with the port a digital i/o pins. these bi-directional pins may have somewhat higher leakage and capacitance speci- fications. any bit in this register set to one configures the corresponding pin as an output. any bit in this register cleared to zero configures the corresponding pin as an input. soft- ware is responsible for ensuring that ddrqa bits are not set to one on pins used for analog inputs. when a ddrqa bit is set to one and the pin is selected for analog con- version, the voltage sampled is that of the output digital driver as influenced by the load. note f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-9 caution should be exercised when mixing digital and analog inputs. this should be minimized as much as possible. input pin rise and fall times should be as large as possible to minimize ac coupling effects. since port b is input-only, a data direction register is not needed. read operations on the reserved bits in ddrqa return zeros, and writes have no effect. refer to 13.12.5 port data direction register for register and bit descriptions. 13.7 external multiplexing operation external multiplexers concentrate a number of analog signals onto a few inputs to the analog converter. this is helpful in applications that need to convert more analog sig- nals than the a/d converter can normally provide. external multiplexing also puts the multiplexer closer to the signal source. this minimizes the number of analog signals that need to be shielded due to the close proximity of noisy, high-speed digital signals near the mcu. the qadc64 can use from one to four external multiplexers to expand the number of analog signals that may be converted. up to 32 analog channels can be converted through external multiplexer selection. the externally multiplexed channels are auto- matically selected from the channel field of the ccw table, the same as internally mul- tiplexed channels. all of the automatic queue features are available for externally and internally multi- plexed channels. the software selects externally multiplexed mode by setting the mux bit in qacr0. figure 13-3 shows the maximum configuration of four external multiplexers connected to the qadc64. the external multiplexers select one of eight analog inputs and con- nect it to one analog output, which becomes an input to the qadc64. the qadc64 provides three multiplexed address signals (ma[2:0]), to select one of eight inputs. these outputs are connected to all four multiplexers. the analog output of each mul- tiplexer is each connected to one of four separate qadc64 inputs ? anw, anx, any, and anz. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-10 figure 13-3 example of external multiplexing when the external multiplexed mode is selected, the qadc64 automatically creates the ma[2:0] output signals from the channel number in each ccw. the qadc64 also converts the proper input channel (anw, anx, any, and anz) by interpreting the ccw channel number. as a result, up to 32 externally multiplexed channels appear to the conversion queues as directly connected signals. software simply puts the channel number of an externally multiplexed channel into a ccw. figure 13-3 shows that ma[2:0] may also be analog or digital input pins. when exter- nal multiplexing is selected, none of the ma[2:0] pins can be used for analog or digital inputs. they become multiplexed address outputs. 13.8 analog input channels the number of available analog channels varies, depending on whether or not external multiplexing is used. a maximum of 16 analog channels are supported by the internal multiplexing circuitry of the converter. table 13-2 shows the total number of analog in- put channels supported with zero to four external multiplexers. an52/ma0/pqa0 * an53/ma1/pqa1 * an54/ma2/pqa2 * an55/pqa3 * an56/pqa4 * an57/pqa5 * an58/pqa6 * an59/pqa7 * an0/anw/pqb0 an1/anx/pqb1 an2/any/pqb2 an3/anz/pqb3 an48/pqb4 an49/pqb5 an50/pqb6 an51/pqb7 digital results and control analog converter analog multiplexer v sse qadc64 v dda v ssa v rl v rh mux an0 an2 an4 an6 an8 an10 an12 an14 mux an1 an3 an5 an7 an9 an11 an13 an15 mux an16 an18 an20 an22 an24 an26 an28 an30 mux an17 an19 an21 an23 an25 an27 an29 an31 analog power analog references external triggers port b port a vddh etrig1 etrig2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-11 13.9 analog subsystem the qadc64 analog subsystem includes a front-end analog multiplexer, a digital to analog converter (dac) array, a comparator, and a successive approximation register (sar). the analog subsystem path runs from the input pins through the input multiplexing cir- cuitry, into the dac array, and through the analog comparator. the output of the com- parator feeds into the sar. figure 13-4 shows a block diagram of the qadc64 analog submodule. table 13-2 analog input channels number of analog input channels available directly connected + external multiplexed = total channels 1 notes: 1. when external multiplexing is used, three input channels become multiplexed address out- puts, and for each external multiplexer chip, one input channel becomes a multiplexed ana- log input. no external mux chips one external mux chip two external mux chips three external mux chips four external mux chips 16 12 + 8 = 20 11 + 16 = 27 10 + 24 = 34 9 + 32 = 41 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-12 figure 13-4 qadc64 module block diagram 13.9.1 conversi on cycle times total conversion time is made up of initial sample time, final sample time, and resolu- tion time. initial sample time refers to th e time during which the selected input channel is driven by the buffer amplifier onto the sample capacitor. the buffer amplifier can be disabled by means of the byp bit in the ccw. during the final sampling period, ampli- fier is bypassed, and the multiplexer input charges the rc dac array directly. during the resolution period, the voltage in the rc dac array is converted to a digital value and stored in the sar. initial sample time is fixed at two qclk cycles . final sample time can be 2, 4, 8, or 16 qclk cycles, depending on the value of the ist field in the ccw. resolution time is ten qclk cycles. sample and resolution require a minimum of 14 qclk clocks (7 s with a 2-mhz qclk). if the maximum final sample time period of 16 qclks is selected, the total conversion time is 13.0 s with a 2-mhz qclk. figure 13-5 illustrates the timing for conversi ons. this diagram assumes a final sampling period of two qclk cycles. pqa7 pqa0 pqb7 pqb0 chan. decode & mux vdda vssa 16: 1 vrh vrl qadc64 detail block qclk wccw end of conv. rst stop sar 10 bit a/d converter input analog power rsar sample compar- successive ator bias circuit approximation register buffer state machine & logic 10 10 ccw 6 power down signals from/to queue control logic sar timing 10 bit rc dac csamp chan.[5:0] sar buf ccw buf end of smp 10 10 ist byp 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-13 figure 13-5 conversion timing 13.9.1.1 amplifier bypass mode conversion timing if the amplifier bypass mode is enabled for a conversion by setting the amplifier bypass (byp) bit in the ccw, the timing changes to that shown in figure 13-6 . the buffered sample time is eliminated, reducing the potential conversion time by two qclks. how- ever, due to internal rc effects, a minimum final sample time of four qclks must be allowed. this results in no savings of qclks. when using the bypass mode, the ex- ternal circuit should be of low source impedance, typically less than 10 k ? . also, the loading effects of the external circuitry by the qadc64 need to be considered, since the benefits of the sample amplifier are not present. note because of internal rc time constants, a sample time of two qckls in bypass mode for high frequency operation is not recommended. figure 13-6 bypass mode conversion timing buffer sample time final sample time resolution time sample time successive approximation resolution sequence 2 cycles n cycles: 10 cycles qclk (2, 4, 8, 16) sample time resolution time sample time successive approximation resolution sequence n cycles: 10 cycles qclk (2, 4, 8, 16) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-14 13.9.2 front-end an alog multiplexer the internal multiplexer selects one of the 16 analog input pins or one of three special internal reference channels for conversion. the following are the three special chan- nels:  v rh ? reference voltage high  v rl ? reference voltage low  (v rh ? v rl )/2 ? mid-reference voltage the selected input is connected to one side of the dac capacitor array. the other side of the dac array is connected to the comparator input. the multiplexer also includes positive and negative stress protection circuitry, which prevents other channels from affecting the present conversion when excessive voltage levels are applied to the oth- er channels. 13.9.3 digital-to-ana log converter array the digital-to-analog converter (dac) array consists of binary-weighted capacitors and a resistor-divider chain. the array serves two purposes:  the array holds the sampled input voltage during conversion  the resistor-capacitor array provides the mechanism for the successive approx- imation a/d conversion resolution begins with the msb and works down to the lsb. the switching sequence is controlled by the sar logic. 13.9.4 comparator the comparator is used during the approximation process to sense whether the digi- tally selected arrangement of the dac array produces a voltage level higher or lower than the sampled input. the comparator outp ut feeds into the sar which accumulates the a/d conversion result sequentially, starting with the msb. 13.9.5 successive approximation register the input of the successive approximation register (sar) is connected to the compar- ator output. the sar sequentially receives the conversion value one bit at a time, starting with the msb. after accumulating the ten bits of the conversion result, the sar data is transferred by the queue control logic in the digital section to the appropriate result location, where it may be read by user software. 13.10 digital c ontrol subsystem the digital control subsystem includes the cl ock and periodic/interval timer, control and status registers, the conversion command word table ram, and the result word table ram. the central element for control of the qadc64 conversions is the 64-entry ccw table. each ccw specifies the conversion of one input channel. depending on the applica- tion, one or two queues can be established in the ccw table. a queue is a scan se- quence of one or more input channels. by using a pause mechanism, sub-queues can f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-15 be created within the two queues. each queue can be operated using several different scan modes. the scan modes for queue 1 and queue 2 are programmed in qacr1 and qacr2. once a queue has been started by a trigger event (any of the ways to cause the qadc64 to begin executing the ccws in a queue or sub-queue), the qadc64 performs a sequence of conversions and places the results in the result word table. 13.10.1 queue priority queue 1 has execution priority over queue 2 execution. table 13-3 shows the condi- tions under which queue 1 asserts its priority: figure 13-7 shows the ccw format and an example of using pause to create sub- queues. queue 1 is shown with four ccws in each sub-queue and queue 2 has two ccws in each sub-queue. table 13-3 queue 1 priority assertion queue state result inactive a trigger event for queue 1 or queue 2 causes the corresponding queue execution to begin. queue 1 active/trigger event occurs for queue 2 queue 2 cannot begin execution until queue 1 reaches completion or the paused state. the status register records the trigger event by reporting the queue 2 status as trigger pending. additional trigger events for queue 2, which occur before execution can begin, are recorded as trigger overruns. queue 2 active/trigger event occurs for queue 1 the current queue 2 conversion is aborted. the status register reports the queue 2 status as suspended. any trigger events occurring for queue 2 while queue 2 is sus- pended are recorded as trigger overruns. once queue 1 reaches the completion or the paused state, queue 2 begins executing again. the programming of the resume bit in qacr2 determines which ccw is executed in queue 2. simultaneous trigger events occur for queue 1 and queue 2 queue 1 begins execution and the queue 2 status is changed to trigger pending. sub-queues paused the pause feature can be used to divide queue 1 and/or queue 2 into multiple sub- queues. a sub-queue is defined by setting the pause bit in the last ccw of the sub- queue. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-16 figure 13-7 qadc64 queue operation with pause the queue operating mode selected for queue 1 determines what type of trigger event causes the execution of each of the sub-queues within queue 1. similarly, the queue operating mode for queue 2 determines the type of trigger event required to execute each of the sub-queues within queue 2. the choice of single-scan or continuous-scan applies to the full queue, and is not ap- plied to each sub-queue. once a sub-queue is initiated, each ccw is executed se- quentially until the last ccw in the sub-queue is executed and the pause state is entered. execution can only continue with the next ccw, which is the beginning of the next sub-queue. a sub-queue cannot be executed a second time before the overall queue execution has been completed. trigger events which occur during the execution of a sub-queue are ignored, except that the trigger overrun flag is set. when continuous-scan mode is selected, a trigger event occurring after the completion of the last sub-queue (after the queue completion flag is set), causes execution to continue with the first sub-queue, starting with the first ccw in the queue. qadc64 cqp 00 begin queue 1 bq2 63 end of queue 1 begin queue 2 end of queue 2 00 63 channel select, sample, hold, a/d conversion command word (ccw) table result word table 0 p 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 p 1 p 0 pause pause pause pause pause pause and conversion f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-17 when the qadc64 encounters a ccw with the pause bit set, the queue enters the paused state after completing the conversion specified in the ccw with the pause bit. the pause flag is set and a pause software interrupt may optionally be issued. the sta- tus of the queue is shown to be paused, indicating completion of a sub-queue. the qadc64 then waits for another trigger event to again begin execution of the next sub- queue. 13.10.2 queue boundary conditions the following are queue operation boundary conditions:  the first ccw in a queue contains channel 63, the end-of-queue (eoq) code. the queue becomes active and the first ccw is read. the end-of-queue is rec- ognized, the completion flag is set, and the queue becomes idle. a conversion is not performed.  bq2 (beginning of queue 2) is set at the end of the ccw table (63) and a trigger event occurs on queue 2. 13.12.8 qadc64 control register 2 (qacr2) on bq2. the end-of-queue condition is recognized, a conversion is performed, the completion flag is set, and the queue becomes idle.  bq2 is set to ccw0 and a trigger event occurs on queue 1. after reading ccw0, the end-of-queue condition is recognized, the completion flag is set, and the queue becomes idle. a conversion is not performed.  bq2 (beginning of queue 2) is set beyond the end of the ccw table (64 - 127) and a trigger event occurs on queue 2. refer to 7.6.3 control register two for in- formation on bq2. the end-of-queue condition is recognized immediately, the completion flag is set, and the queue becomes idle. a conversion is not per- formed. note multiple end-of-queue conditions may be recognized simultaneously, although there is no change in the qadc64 behavior. for example, if bq2 is set to ccw0, ccw0 contains the eoq code, and a trigger event occurs on queue 1, the qadc64 reads ccw0 and detects both end-of-queue conditions. the completion flag is set for queue 1 only and it becomes idle. boundary conditions also exist for combinations of pause and end-of-queue. one case is when a pause bit is in one ccw and an end-of-queue condition is in the next ccw. the conversion specified by the ccw with the pause bit set completes normally. the pause flag is set. however, since the end-of-queue condition is recognized, the com- pletion flag is also set and the queue status becomes idle, not paused. examples of this situation include:  the pause bit is set in ccw5 and the channel 63 (eoq) code is in ccw6  the pause bit is set in ccw63  during queue 1 operation, the pause bit is set in ccw14 and bq2 points to ccw15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-18 another pause and end-of-queue boundary condition occurs when the pause and an end-of-queue condition occur in the same ccw. both the pause and end-of-queue conditions are recognized simultaneously. the end-of-queue condition has prece- dence so a conversion is not performed for the ccw and the pause flag is not set. the qadc64 sets the completion flag and the queue status becomes idle. examples of this situation are:  the pause bit is set in ccw0 and eoq is programmed into ccw0  during queue 1 operation, the pause bit is set in ccw20, which is also bq2 13.10.3 scan modes the qadc64 queuing mechanism provides several methods for automatically scan- ning input channels. in single-scan mode, a single pass through a sequence of con- versions defined by a queue is performed. in continuous-scan mode, multiple passes through a sequence of conversions defined by a queue are executed. the possible modes are:  disabled and reserved mode  software initiated single-scan mode  external trigger single-scan mode  external gated single-scan mode (queue 1 only)  interval timer single-scan mode  software initiated continuous-scan mode  external trigger continuous-scan mode  external gated continuous-scan mode (queue 1 only)  interval timer continuous-scan mode the following paragraphs describe the disabled/reserved, single-scan, and continu- ous-scan operations. 13.10.3.1 disabled mode when the disabled mode is selected, the queue is not active. trigger events cannot initiate queue execution. when both queue 1 and queue 2 are disabled, wait states are not encountered for imb accesses of the ram. when both queues are disabled, it is safe to change the qclk prescaler values. 13.10.3.2 reserved mode reserved mode allows for future mode definitions. when the reserved mode is select- ed, the queue is not active. caution do not use a reserved mode. unspecified operations may result. 13.10.3.3 single-scan modes when the application software wants to execute a single pass through a sequence of conversions defined by a queue, a single-scan queue operating mode is selected. by programming the mq field in qacr1 or qacr2, the following modes can be selected: f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-19  software initiated single-scan mode  external trigger single-scan mode  external gated single-scan mode (queue 1 only)  interval timer single-scan mode note queue 2 can not be programmed for external gated single-scan mode. in all single-scan queue operating modes, the software must also enable the queue to begin execution by writing the single-scan enable bit to a one in the queue?s control register. the single-scan enable bits, sse1 and sse2, are provided for queue 1 and queue 2 respectively. until the single-scan enable bit is set, any trigger events for that queue are ignored. the single-scan enable bit may be set to a one during the write cycle, which selects the single-scan queue operating mode. the single-scan enable bit can be written as a one or a zero, but is always read as a zero. the completion flag, completion interrupt, or queue status are used to determine when the queue has completed. after the single-scan enable bit is set, a trigger event causes the qadc64 to begin ex- ecution with the first ccw in the queue. the single-scan enable bit remains set until the queue is completed. after the queue reaches completion, the qadc64 resets the single-scan enable bit to zero. if the single-scan enable bit is written to a one or a zero by the software before the queue scan is complete, the queue is not affected. howev- er, if the software changes the queue operating mode, the new queue operating mode and the value of the single-scan enable bit are recognized immediately. the conver- sion in progress is aborted and the new queue operating mode takes effect. in the software initiated single-scan mode, the writing of a one to the single-scan en- able bit causes the qadc64 to internally generate a trigger event and the queue exe- cution begins immediately. in the other single-scan queue operating modes, once the single-scan enable bit is written, the selected trigger event must occur before the queue can start. the single-scan enable bit allows the entire queue to be scanned once. a trigger overrun is captured if a trigger event occurs during queue execution in the external trigger single-scan mode a nd the interval timer single-scan mode. in the interval timer single-scan mode, the next expiration of the timer is the trigger event for the queue. after the queue execution is complete, the queue status is shown as idle. the software can restart the queue by setting the single-scan enable bit to a one. queue execution begins with the first ccw in the queue. software initiated single-scan mode. software can initiate the execution of a scan sequence for queue 1 or 2 by selecting the software initiated single-scan mode, and writing the single-scan enable bit in qacr1 or qacr2. a trigger event is generated internally and the qadc64 immediately begins execution of the first ccw in the queue. if a pause occurs, another trigger event is generated internally, and then exe- cution continues without pausing. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-20 the qadc64 automatically performs the conversions in the queue until an end-of- queue condition is encountered. the queue remains idle until the software again sets the single-scan enable bit. while the time to internally generate and act on a trigger event is very short, software can momentarily read the status conditions, indicating that the queue is paused. the trigger overrun flag is never set while in the software initiated single-scan mode. the software initiated single-scan mode is useful in the following applications:  allows software complete control of the queue execution  allows the software to easily alternate between several queue sequences external trigger single-scan mode . the external trigger single-scan mode is a vari- ation of the external trigger continuous-scan mode, and is also available with both queue 1 and queue 2. the software programs the polarity of the external trigger edge that is to be detected, either a rising or a falling edge. the software must enable the scan to occur by setting the single-scan enable bit for the queue. the first external trigger edge causes the queue to be executed one time. each ccw is read and the indicated conversions are performed until an end-of-queue condition is encountered. after the queue is completed, the qadc64 clears the single-scan en- able bit. software may set the single-scan enable bit again to allow another scan of the queue to be initiated by the next external trigger edge. the external trigger single-scan mode is useful when the input trigger rate can exceed the queue execution rate. analog samples can be taken in sync with an external event, even though the software is not interested in data taken from every edge. the software can start the external trigger single-scan mode and get one set of data, and at a later time, start the queue again for the next set of samples. when a pause bit is encountered during external trigger single-scan mode, another trigger event is required for queue execution to continue. software involvement is not needed to enable queue execution to continue from the paused state. the external trigger single-scan mode is also useful when the software needs to change the polarity of the external trigger so that both the rising and falling edges cause queue execution. external gated single-scan mode . the qadc64 provides external gating for queue 1 only. when external gated single-scan mode is selected, a transition on the associ- ated external trigger pin initiates queue execution. the polarity of the external gated signal is fixed so only a high level opens the gate and a low level closes the gate. once the gate is open, each ccw is read and the indicated conversions are performed until the gate is closed. software must enable th e scan to occur by setting the single-scan enable bit for queue 1. if a pause in a ccw is encountered, the pause flag will not set , and execution continues without pausing. while the gate is open, queue 1 executes one time. each ccw is read and the indi- cated conversions are performed until an end-of-queue condition is encountered. when queue 1 completes, the qadc64 sets the completion flag (cf1) and clears the f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-21 single-scan enable bit. software may set the single-scan enable bit again to allow an- other scan of queue 1 to be initiated during the next open gate. if the gate closes before queue 1 completes execution, the current ccw completes, execution of queue 1 stops, the single-scan enable bit is cleared, and the pf1 bit is set. software can read the cwpq1 to determine the last valid conversion in the queue. software must set the single-scan enable bit again and should clear the pf1 bit before another scan of queue 1 is initiated during the next open gate. the start of queue 1 is always the first ccw in the ccw table. interval timer single-scan mode . both queues can use the periodic/interval timer in a single-scan queue operating mode. the timer interval can range from 128 to 128 kbytes times the qclk period in binary multiples. when the interval timer single-scan mode is selected and the software sets the single-scan enable bit in qacr1(2), the timer begins counting. when the time interval elapses, an internal trigger event is cre- ated to start the queue and the qadc64 begins execution with the first ccw. the qadc64 automatically performs the conversions in the queue until a pause or an end-of-queue condition is encountered. when a pause occurs, queue execution stops until the timer interval elapses again, and queue execution continues. when the queue execution reaches an end of queue situation the single-scan enable bit is cleared. software may set the single-scan enable bit again, allowing another scan of the queue to be initiated by the interval timer. the interval timer generates a trigger event whenever the time interval elapses. the trigger event may cause the queue execution to continue following a pause, or may be considered a trigger overrun. once the queue execution is completed, the single-scan enable bit must be set again to enable the timer to count again. normally only one queue will be enabled for interval timer single-scan mode and the timer will reset at the end of queue. however, if both queues are enabled for either sin- gle-scan or continuous interval timer mode, the end of queue condition will not reset the timer while the other queue is active. in this case, the timer will reset when both queues have reached end of queue. the interval timer single-scan mode can be used in applications which need coherent results, for example:  when it is necessary that all samples are guaranteed to be taken during the same scan of the analog pins  when the interrupt rate in the periodic timer continuous-scan mode would be too high  in sensitive battery applications, where the single-scan mode uses less power than the software initiated continuous-scan mode 13.10.3.4 conti nuous-scan modes when the application software wants to execute multiple passes through a sequence of conversions defined by a queue, a continuous-scan queue operating mode is se- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-22 lected. by programming the mq1(2) field in qacr1(2), the following software initiated modes can be selected:  software initiated continuous-scan mode  external trigger continuous-scan mode  external gated continuous-scan mode (queue 1 only)  interval timer continuous-scan mode when a queue is programmed for a continuous-scan mode, the single-scan enable bit in the queue control register does not have any meaning or effect. as soon as the queue operating mode is programmed, the selected trigger event can initiate queue execution. in the case of the software initiated continuous-scan mode, the trigger event is gener- ated internally and queue execution begins immediately. in the other continuous-scan queue operating modes, the selected trigger event must occur before the queue can start. a trigger overrun is captured if a trigger event occurs during queue execution in the external trigger continuous-scan mode and the periodic timer continuous-scan mode. after the queue execution is complete, the queue status is shown as idle. since the continuous-scan queue operating modes allow the entire queue to be scanned multi- ple times, software involvement is not needed to enable queue execution to continue from the idle state. the next trigger event causes queue execution to begin again, starting with the first ccw in the queue. note in this version of qadc64, coherent samples can be guaranteed. the time between consecutive conversions has been designed to be consistent, provided the sample time bits in both the ccw and ist are identical. however, there is one exception. for queues that end with a ccw containing eoq code (channel 63), the last queue con- version to the first queue conversion requires one additional ccw fetch cycle. therefore continuous samples are not coherent at this boundary. in addition, the time from trigger to first conversion can not be guar- anteed since it is a function of clock synchronization, programmable trigger events, queue priorities, and so on. software initiated continuous-scan mode . when the software initiated continuous- scan mode is programmed, the trigger event is generated automatically by the qadc64. queue execution begins immediately. if a pause is encountered, another trigger event is generated internally, and then execution continues without pausing. when the end-of-queue is reached, another internal trigger event is generated, and queue execution begins again from the beginning of the queue. while the time to internally generate and ac t on a trigger event is very short, software can momentarily read the status conditions, indicating that the queue is idle. the trig- ger overrun flag is never set while in the software initiated continuous-scan mode. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-23 the software initiated continuous-scan mode keeps the result registers updated more frequently than any of the other queue operating modes. the software can always read the result table to get the latest converted value for each channel. the channels scanned are kept up-to-date by the qadc64 without software involvement. software can read a result value at any time. the software initiated continuous-scan mode may be chosen for either queue, but is normally used only with queue 2. when the software initiated continuous-scan mode is chosen for queue 1, that queue operates continuously and queue 2, being lower in priority, never gets executed. the short interval of time between a queue 1 completion and the subsequent trigger event is not sufficient to allow queue 2 execution to begin. the software initiated continuous-scan mode is a useful choice with queue 2 for con- verting channels that do not need to be synchronized to anything, or for the slow-to- change analog channels. interrupts are normally not used with the software initiated continuous-scan mode. rather, the software reads the latest conversion result from the result table at any time. once initiated, software action is not needed to sustain conversions of channel. data read at different locations, however, may or may not be coherent (that is, from the same queue scan sequence). external trigger continuous-scan mode . the qadc64 provides external trigger pins for both queues. when the external trigger software initiated continuous-scan mode is selected, a transition on the associated external trigger pin initiates queue ex- ecution. the polarity of the external trigger signal is programmable, so that the soft- ware can choose to begin queue execution on the rising or falling edge. each ccw is read and the indicated conversions are performed until an end-of-queue condition is encountered. when the next external trigger edge is detected, the queue execution begins again automatically. software initialization is not needed between trigger events. when a pause bit is encountered in external trigger continuous-scan mode, another trigger event is required for queue execution to continue. software involvement is not needed to enable queue execution to continue from the paused state. some applications need to synchronize the sampling of analog channels to external events. there are cases when it is not possible to use software initiation of the queue scan sequence, since interrupt response times vary. external gated c ontinuous-scan mode . the qadc64 provides external gating for queue 1 only. when external gated continuous-scan mode is selected, a transition on the associated external trigger pin initiates queue execution. the polarity of the exter- nal gated signal is fixed so a high level opens the gate and a low level closes the gate. once the gate is open, each ccw is read and the indicated conversions are per- formed until the gate is closed. when the gate opens again, the queue execution au- tomatically begins again from the beginning of the queue. software initialization is not needed between trigger events. if a pause in a ccw is encountered, the pause flag will not set , and execution continues without pausing. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-24 the purpose of external gated continuous-scan mode is to continuously collect digi- tized samples while the gate is open and to have the most recent samples available. to ensure consistent sample times in waveform digitizing, for example, the program- mer must ensure that all ccws have id entical sample time settings in ist. it is up to the programmer to ensure that the queue is large enough so that a maximum gate open time will not reach an end of queue. however it is useful to take advantage of a smaller queue in the manner described in the next paragraph. in the event that the queue completes befo re the gate closes, a completion flag will be set and the queue will roll over to the beginning and continue conversions until the gate closes. if the gate remains open and the queue completes a second time, the trigger overrun flag will be set and the queue will roll-over again. the queue will continue to execute until the gate closes or the mode is disabled. if the gate closes before queue 1 completes execution, the current ccw completes, execution of queue 1 stops and qadc64 sets the pf1 bit to indicate an incomplete queue. software can read the cwpq1 to determine the last valid conversion in the queue. in this mode, if the gate opens again execution of queue 1 begins again. the start of queue 1 is always the first ccw in the ccw table. interval timer c ontinuous-scan mode . the qadc64 includes a dedicated periodic/ interval timer for initiating a scan sequence on queue 1 and/or queue 2. software se- lects a programmable timer interval ranging from 128 to 128 kbytes times the qclk period in binary multiples. the qclk period is prescaled down from the intermodule bus (imb) mcu clock. when a periodic timer continuous-scan mode is selected for queue 1 and/or queue 2, the timer begins counting. after the programmed interval elapses, the timer generated trigger event starts the appropriate queue. meanwhile, the qadc64 automatically per- forms the conversions in the queue until an end-of-queue condition or a pause is en- countered. when a pause occurs, the qadc64 waits for the periodic interval to expire again, then continues with the queue. once end-of-queue has been detected, the next trigger event causes queue execution to begin again with the first ccw in the queue. the periodic timer generates a trigger event whenever the time interval elapses. the trigger event may cause the queue execution to continue following a pause or queue completion, or may be considered a trigger overrun. as with all continuous-scan queue operating modes, software action is not needed between trigger events. software enables the completion interrupt when using the periodic timer continuous- scan mode. when the interrupt occurs, the so ftware knows that the periodically col- lected analog results have just been taken. the software can use the periodic interrupt to obtain non-analog inputs as well, such as contact closures, as part of a periodic look at all inputs. 13.10.4 qadc64 clock (qclk) generation figure 13-8 is a block diagram of the clock su bsystem. the qclk provides the timing for the a/d converter state machine, which controls the timing of the conversion. the f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-25 qclk is also the input to a 17-stage binary divider which implements the periodic/in- terval timer. to retain the specified analog conversion accuracy, the qclk frequency (fqclk) must be within a specified tolerance. see appendix g electrical characteristics . before using the qadc64, the software must initialize the prescaler with values that put the qclk within the specified range. though most software applications initialize the prescaler once and do not change it, write operations to the prescaler fields are permitted. note for software compatibility with earlier versions of qadc64, the defi- nition of psl, psh, and psa have been maintained. however, the requirements on minimum time and minimum low time no longer ex- ist. caution a change in the prescaler value while a conversion is in progress is likely to corrupt the result from any conversion in progress. there- fore, any prescaler write operation should be done only when both queues are in the disabled modes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-26 figure 13-8 qadc64 clock subsystem functions to accommodate wide variations of the main mcu clock frequency (imb clock ? f sys ), qclk is generated by a programmable prescaler which divides the mcu imb clock to a frequency within the specified qclk tolerance range. to allow the a/d con- version time to be maximized across the spectrum of imb clock frequencies, the qadc64 prescaler permits the frequency of qclk to be software selectable. it also allows the duty cycle of the qclk waveform to be programmable. the software establishes the basic high phase of the qclk waveform with the psh (prescaler clock high time) field in qacr0, and selects the basic low phase of qclk with the prescaler clock low time (psl) field. the combination of the psh and psl pa- rameters establishes the frequency of the qclk. prescaler rate selection (from control register 0): binary counter periodic/interval timer select 2 15 2 14 2 13 2 12 2 11 2 10 2 9 2 8 2 7 2 16 2 17 one's complement compare clock generate 5-bit down counter zero detect reset qclk load psh set qclk qclk qadc64 clock ( f sys / 2 to f sys /40 ) low time cycles (psl) add half cycle to high (psa) high time cycles (psh) input sample time (from ccw) queue 1 & 2 timer mode rate selection sar control sar periodic/interval trigger event 53 3 2 5 imb clock (f sys ) a/d converter state machine for q1 and q2 2 8 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-27 note the guideline for selecting psh and psl is select is to maintain ap- proximately 50% duty cycle. so for prescaler values less then 16, or psh ~= psl. for prescaler values greater than 16 keep psl as large as possible. figure 13-8 shows that the prescaler is essentially a variable pulse width signal gen- erator. a 5-bit down counter, clocked at the imb clock rate, is used to create both the high phase and the low phase of the qclk signal. at the beginning of the high phase, the 5-bit counter is loaded with the 5-bit psh value. when the zero detector finds that the high phase is finished, the qclk is reset. a 3-bit comparator looks for a one?s com- plement match with the 3-bit psl value, which is the end of the low phase of the qclk. the psa bit was mainta ined for software compatibility, but has no effect on qadc64. the following equations define qclk frequency: high qclk time = (psh + 1) f sys low qclk time = (psl + 1) f sys fqclk= 1 (high qclk time + low qclk time) where:  psh = 0 to 31, the prescaler qclk high cycles in qacr0  psl = 0 to 7, the prescaler qclk low cycles in qacr0  f sys = imb clock frequency  fqclk = qclk frequency the following are equations for calculating the qclk high/low phases in example 1: high qclk time = (11 + 1) 40 x 10 6 = 300 ns low qclk time = (7 + 1) 40 x 10 6 = 200 ns fqclk = 1/(300 + 200) = 2 mhz the following are equations for calculating the qclk high/low phases in example 2: high qclk time = (7 + 1) 32 x 10 6 = 250 ns low qclk time = (7 + 1) 32 x 10 6 = 250 ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-28 fqclk = 1/(250 + 250) = 2 mhz figure 13-9 and table 13-4 show examples of qclk programmability. the examples include conversion times base d on the following assumption:  input sample time is as fast as possible (ist = 0, 2 qclk cycles). figure 13-9 and table 13-4 also show the conversion time calculated for a single con- version in a queue. for other mcu imb clock frequencies and other input sample times, the same calculations can be made. figure 13-9 qadc64 clock programmability examples note psa is maintained for software compatibility but has no functional benefit to this version of the module. the mcu imb clock frequency is the basis of the qadc64 timing. the qadc64 re- quires that the imb clock frequency be at least twice the qclk frequency. the qclk frequency is established by the combination of the psh and psl parameters in qacr0. the 5-bit psh field selects the number of imb clock cycles in the high phase of the qclk wave. the 3-bit psl field sele cts the number of imb clock cycles in the low phase of the qclk wave. example 1 in figure 13-9 shows that when psh = 11, the qclk remains high for twelve cycles of the imb clock. it also s hows that when psl = 7, the qclk remains low for eight imb clock cycles. in example 2, psh = 7, the qclk remains high for eight table 13-4 qadc64 clock programmability control register 0 information input sample time (ist) =%00 example number frequency psh psa psl qclk (mhz) conversion time ( s) 1 40 mhz 11 0 7 2.0 7.0 2 32 mhz 7 0 7 2.0 7.0 qclk examples f sys 40 mhz ex1 32 mhz ex2 imb clock qadc64 qclk ex 20 cycles f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-29 cycles of the imb clock. it also shows that when psl = 7, the qclk remains low for eight imb clock cycles. 13.10.5 periodic/interval timer the on-chip periodic/interval timer is enabled to generate trigger events at a program- mable interval, initiating execution of queue 1 and/or 2. the periodic/interval timer stays reset under the following conditions:  queue 1 and queue 2 are programmed to any queue operating mode which does not use the periodic/interval timer  interval timer single-scan mode is selected, but the single-scan enable bit is set to zero  imb system reset or the master reset is asserted  stop mode is selected  freeze mode is selected two other conditions which cause a pulsed reset of the timer are:  roll-over of the timer counter  a queue operating mode change from one periodic/interval timer mode to another periodic/interval timer mode, depending on which queues are active in timer mode. note the periodic/interval timer will not reset for a queue 2 operating mode change from one periodic/interval timer mode to another periodic/in- terval timer mode while queue 1 is in an active periodic/interval timer mode. during the low power stop mode, the periodic/interval timer is held in reset. since low power stop mode causes qacr1 and qacr2 to be reset to zero, a valid periodic or interval timer mode must be written after stop mode is exited to release the timer from reset. when the imb internal freeze line is asserted and a periodic or interval timer mode is selected, the timer counter is reset a fter the conversion in-progress completes. when the periodic or interval timer mode has been enabled (the timer is counting), but a trigger event has not been issued, the freeze mode takes effect immediately, and the timer is held in reset. when the internal freeze line is negated, the timer counter starts counting from the beginning. 13.11 interrupts the qadc64 supports both polled and interrupt driven operation. status bits in qasr reflect the operating condition of each queue and can optionally generate interrupts when enabled by the appropriate bits in qacr1 and/or qacr2. figure 13-10 displays the qadc64 interrupt flow. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-30 figure 13-10 qadc64 interrupt flow diagram 13.11.1 interrupt sources the qadc64 has four interrupt service sources, each of which is separately enabled. each time the result is written for the last ccw in a queue, the completion flag for the corresponding queue is set, and when enabled, an interrupt request is generated. in the same way, each time the result is written for a ccw with the pause bit set, the queue pause flag is set, and when enabled, an interrupt request is generated. table 13-5 displays the status flag and interrupt enable bits which correspond to queue 1 and queue 2 activity. both polled and interrupt-driven qadc64 operations require that status flags must be cleared after an event occurs. flags are cleared by first reading qasr with the appro- priate flag bits set to one, then writing zeros to the flags that are to be cleared. a flag can be cleared only if the flag was a logic one at the time the register was read by the cpu. if a new event occurs between the time that the register is read and the time that it is written, the associated flag is not cleared. table 13-5 qadc64 status flags and interrupt sources queue queue activity status flag interrupt enable bit queue 1 result written for the last ccw in queue 1 cf1 cie1 result written for a ccw with pause bit set in queue 1 pf1 pie1 queue 2 result written for the last ccw in queue 2 cf2 cie2 result written for a ccw with pause bit set in queue 2 pf2 pie2 pie1 pf1 cie1 cf1 conversion pause enable conversion pause flag conversion complete interrupt conversion complete flag queue 1 (irl1) pie2 pf2 cie2 cf2 conversion pause enable conversion pause flag conversion complete interrupt conversion complete flag queue 2 (irl2) interrupt generator irq[7:0] interrupt control enable enable f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-31 13.11.2 interrupt register the qadc64 interrupt register qadc64int s pecifies the priority level of qadc64 in- terrupt requests the values contained in the irl1 and irl2 fields in qadc64int determine the priority of qadc64 interrupt service requests.the interrupt levels for queue 1 and queue 2 may be different. 13.11.3 interrupt levels and time multiplexing the qadc64 conditionally generates interrupts to the bus master via the imb irq sig- nals. when the qadc64 sets a status bit assigned to generate an interrupt, the qadc64 drives the irq bus. the value driv en onto irq[7:0] represents the interrupt level assigned to the interrupt source. under the control of ilbs, each interrupt request level is driven during the time multiplexed bus during one of four different time slots, with eight levels communicated per time slot. no hardware priority is assigned to inter- rupts. furthermore, if more than one source on a module requests an interrupt at the same level, the system software must assign a priority to each source requesting at that level. figure 13-11 displays the interrupt levels on irq with ilbs. figure 13-11 interrupt levels on irq with ilbs 13.12 programming model each qadc64 occupies 1 kbyte (512 16-bit entries) of address space. the address space consists of ten 16-bit control, status, and port registers; 64 16-bit entries in the ccw table; and 64 16-bit entries in the result table. the result table occupies 192 16- bit address locations because the result data is readable in three data alignment for- mats. table 13-6 shows the qadc64 memory map. the lowercase ?x? appended to each register name represents ?a? or ?b? for the qadc64_a or qadc64_b module, respec- tively. the address offset shown is from the base address of the module. refer to 1.3 MPC555 / mpc556 address map to locate each qadc64 module in the MPC555 / mpc556 memory map. imb3 clock ilbs [1:0] imb3 irq [7:0] irq 0:7 00 01 11 10 irq 8:15 irq 16:23 irq 24:31 irq 0:7 00 01 11 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-32 the qadc64 has three global registers for configuring module operation: the module configuration register (qadc64mcr), the interrupt register (qadc64int), and a test register (qadc64test). the global registers are always defined to be in supervisor data space. the cpu allows software to establish the global registers in supervisor data space and the remaining registers and tables in user space. table 13-6 qadc64 address map access address msb 0 lsb 15 s 1 notes: 1. s = supervisor only 0x30 4800 0x30 4c00 qadc64 module configuration register (qadc64mcr_x) see table 13-7 for bit descriptions. t 2 2. access is restricted to supervisor only and factory test mode only. 0x30 4802 0x30 4c02 qadc64 test register (qadc64test_x) s 0x30 4804 0x30 4c04 interrupt register (qadc64int_x) see table 13-8 for bit descriptions. s/u 3 3. s/u = unrestricted or supervisor depending on the state of the supv bit in the qadc64mcr. 0x30 4806 0x30 4c06 port a data (portqa_x) see table 13-10 for bit descriptions. port b data (portqb_x) s/u 0x30 4808 0x30 4c08 port a data direction register (ddrqa_x) see table 13-10 for bit descriptions. s/u 0x30 480a 0x30 4c0a qadc64 control register 0 (qacr0_x) see table 13-11 for bit descriptions. s/u 0x30 480c 0x30 4c0c qadc64 control register 1 (qacr1_x) see table 13-12 for bit descriptions. s/u 0x30 480e 0x30 4c0e qadc64 control register 2 (qacr2_x) see table 13-14 for bit descriptions. s/u 0x30 4810, 0x30 4c10 qadc64 status register 0 (qasr0_x) see table 13-16 for bit descriptions. s/u 0x30 4812, 0x30 4c12 qadc64 status register 1 (qasr1_x) see table 13-18 for bit descriptions. --- 0x30 4814 ? 0x30 49fe 0x30 4c14 ? 0x30 4dfe reserved s/u 0x30 4a00 ? 0x30 4a7e 0x30 4e00 ? 0x30 4e7e conversion command word (ccw_x) table see table 13-19 for bit descriptions. s/u 0x30 4a80 ? 0x30 4afe 0x30 4e80 ? 0x30 4efe result word table right-justified, unsigned result register (rjurr_x) see 13.12.12 for bit descriptions. s/u 0x30 4b00 ? 0x30 4b7e 0x30 4f00 ? 0x30 4f7e result word table left-justified, signed result register (ljsrr_x) see 13.12.12 for bit descriptions. s/u 0x30 4b80 ? 0x30 4bfe 0x30 4f80 ? 0x30 4ffe result word table left-justified, unsigned result register (ljurr_x) see 13.12.12 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-33 all qadc64 analog channel/port pins that are not used for analog input channels can be used as digital port pins. port values are read/written by accessing the port a and b data registers (portqa and portqb). port a pins are specified as inputs or out- puts by programming the port data direction register (ddrqa). port b is an input-only port. 13.12.1 qadc64 module configuration register 13.12.2 qadc64 test register qadc64test ? qadc64 test register 0x30 4802, 0x30 4c02 used for factory test only. 13.12.3 qadc64 interrupt register qadc64mcr ? qadc64 module configuration register 0x30 4800 0x30 4c00 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 stop frz reserved supv reserved reset: 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 table 13-7 qadc64m cr bit descriptions bit(s) name description 0stop low-power stop mode enable. when the stop bit is set, the clock signal to the qadc64 is dis- abled, effectively turning off the analog circuitry. 0 = enable qadc64 clock 1 = disable qadc64 clock 1frz freeze assertion response. the frz bit determines whether or not the qadc64 responds to assertion of the imb3 freeze signal. 0 = qadc64 ignores the imb3 freeze signal 1 = qadc64 finishes any current conversion, then freezes 2:7 ? reserved 8supv supervisor/unrestricted data space. the supv bit designates the assignable space as supervi- sor or unrestricted. 0 = only the module configuration register, test register, and interrupt register are designated as supervisor-only data space. access to all other locations is unrestricted 1 = all qadc64 registers and tables are designated as supervisor-only data space 9:15 ? reserved qadc64int ? qadc64 interrupt register 0x30 4804 0x30 4c04 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 irl1 irl2 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-34 13.12.4 port a/b data register qadc64 ports a and b are accessed through two 8-bit port data registers (portqa and portqb). table 13-8 qadc64int bit descriptions bit(s) name description 0:4 irl1 interrupt level for queue 1. a value of 0b00000 provides an interrupt level of 0; 0b11111 provides a level interrupt. all interrupts are presented on the imb3. interrupt level priority software deter- mines which level has the highest priority request. 5:9 irl2 interrupt level for queue 2. a value of 0b00000 provides an interrupt level of 0; 0b11111 provides a level interrupt. all interrupts are presented on the imb3. interrupt level priority software deter- mines which level has the highest priority request. 10:15 ? reserved portqa ? port qa data register 0x30 4806 portqb ? port qb data register 0x30 4c06 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pqa7 pqa6 pqa5 pqa4 pqa3 pqa2 pqa1 pqa0 pqb7 pqb6 pqb5 pqb4 pqb3 pqb2 pqb1 pqb0 reset: u u u u u u u uuuuuuuuu analog channel: an59 an58 an57 an56 an55 an54 an53 an52 an51 an50 an49 an48 an3 an2 an1 an0 multiplexed address outputs: ma2 ma1 ma0 multiplexed analog in- puts: anz any anx anw table 13-9 portqa, portqb bit descriptions bit(s) name description 0:7 pqa[0:7] port a pins are referred to as pqa when used as an 8-bit input/output port. port a can also be used for analog inputs (an[59:52]), and external multiplexer address outputs (ma[2:0]). 8:15 pqb[0:7] port b pins are referred to as pqb when used as an 8-bit input-only port. port b can also be used for non-multiplexed (an[51:48])/an[3:0]) and multiplexed (anz, any, anx, anw) analog inputs. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-35 13.12.5 port data direction register 13.12.6 qadc64 control register 0 (qacr0) control register zero establishes the qclk with prescaler parameter fields and de- fines whether external multiplexing is enabled. all of the implemented control register fields can be read or written, reserved fields read zero and writes have no effect. they are typically written once when the software initializes the qadc64, and not changed afterwards. ddrqa ? port qa data direction register 0x30 4808 0x30 4c08 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 ddqa7 ddqa 6 ddqa 5 ddqa 4 ddqa 3 ddqa 2 ddqa 1 ddqa 0 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 13-10 ddrqa bit descriptions bit(s) name description 0:7 ddqa[7:0] bits in this register control the direction of the port qa pin drivers when pins are configured for i/ o. setting a bit configures the corresponding pin as an output; clearing a bit configures the cor- responding pin as an input. this register can be read or written at any time. qacr0 ? qadc64 control register 0 0x30 480a 0x30 4c0a msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 mux reserved trg reserved psh psa psl reset: 0 0 0 0 0 0 0 0 1 0 1 1 0 1 1 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-36 13.12.7 qadc64 control register 1 (qacr1) control register 1 is the mode control register for the operation of queue 1. the appli- cations software defines the queue operating mode for the queue, and may enable a completion and/or pause interrupt. all of the control register fields are read/write data. however, the sse1 bit always reads as zero unless the test mode is enabled. most of the bits are typically written once when the software initializes the qadc64, and not changed afterwards. table 13-11 qacr0 bit descriptions bit(s) name description 0mux externally multiplexed mode. the mux bit configures the qadc64 for externally multiplexed mode, which affects the interpretation of the channel numbers and forces the ma[2:0] pins to be outputs. 0 = internally multiplexed, 16 possible channels 1 = externally multiplexed, 41 possible channels 1:2 ? reserved 3trg trigger assignment. trg allows the software to assign the etrig[2:1] pins to queue 1 and queue 2. 0 = etrig1 triggers queue 1; etrig2 triggers queue 2 1 = etrig1 triggers queue 2; etrig2 triggers queue 1 4:6 ? reserved 7:11 psh prescaler clock high time. the psh field selects the qclk high time in the prescaler. psh value plus 1 represents the high time in imb clocks 12 psa note that this bit location is maintained for software compatibility with previous versions of the qadc64. it serves no functional benefit in the MPC555 / mpc556 and is not operational. 13:15 psl prescaler clock low time. the psl field selects the qclk low time in the prescaler. psl value plus 1 represents the low time in imb clocks qacr1 ? control register 1 0x30 480c 0x30 4c0c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 cie1 pie1 sse1 mq1 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-37 table 13-12 qacr1 bit descriptions bit(s) name description 0cie1 queue 1 completion interrupt enable. cie1 enables completion interrupts for queue 1. the inter- rupt request is generated when the conversion is complete for the last ccw in queue 1. 0 = queue 1 completion interrupts disabled 1 = generate an interrupt request after completing the last ccw in queue 1 1pie1 queue 1 pause interrupt enable. pie1 enables pause interrupts for queue 1. the interrupt re- quest is generated when the conversion is complete for a ccw that has the pause bit set. 0 = queue 1 pause interrupts disabled 1 = generate an interrupt request after completing a ccw in queue 1 which has the pause bit set 2 sse1 queue 1 single-scan enable. sse1 enables a single-scan of queue 1 after a trigger event occurs. the sse1 bit may be set to a one during the same write cycle that sets the mq1 bits for the sin- gle-scan queue operating mode. the single-scan enable bit can be written as a one or a zero, but is always read as a zero. the sse1 bit allows a trigger event to initiate queue execution for any single-scan operation on queue 1. the qadc64 clears sse1 when the single-scan is complete. 3:7 mq1 queue 1 operating mode. the mq1 field selects the queue operating mode for queue 1. table 13-13 shows the different queue 1 operating modes. 8:15 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-38 13.12.8 qadc64 control register 2 (qacr2) control register two is the mode control register for the operation of queue 2. software specifies the queue operating mode of queue 2, and may enable a completion and/or a pause interrupt. all control register fields are read/write data, except the sse2 bit, table 13-13 queue 1 operating modes mq1 operating modes 0b00000 disabled mode, conversions do not occur 0b00001 software triggered single-scan mode (started with sse1) 0b00010 external trigger rising edge single-scan mode 0b00011 external trigger falling edge single-scan mode 0b00100 interval timer single-scan mode: time = qclk period x 2 7 0b00101 interval timer single-scan mode: time = qclk period x 2 8 0b00110 interval timer single-scan mode: time = qclk period x 2 9 0b00111 interval timer single-scan mode: time = qclk period x 2 10 0b01000 interval timer single-scan mode: time = qclk period x 2 11 0b01001 interval timer single-scan mode: time = qclk period x 2 12 0b01010 interval timer single-scan mode: time = qclk period x 2 13 0b01011 interval timer single-scan mode: time = qclk period x 2 14 0b01100 interval timer single-scan mode: time = qclk period x 2 15 0b01101 interval timer single-scan mode: time = qclk period x 2 16 0b01110 interval timer single-scan mode: time = qclk period x 2 17 0b01111 external gated single-scan mode (started with sse1) 0b10000 reserved mode 0b10001 software triggered continuous-scan mode 0b10010 external trigger rising edge continuous-scan mode 0b10011 external trigger falling edge continuous-scan mode 0b10100 periodic timer continuous-scan mode: time = qclk period x 2 7 0b10101 periodic timer continuous-scan mode: time = qclk period x 2 8 0b10110 periodic timer continuous-scan mode: time = qclk period x 2 9 0b10111 periodic timer continuous-scan mode: time = qclk period x 2 10 0b11000 periodic timer continuous-scan mode: time = qclk period x 2 11 0b11001 periodic timer continuous-scan mode: time = qclk period x 2 12 0b11010 periodic timer continuous-scan mode: time = qclk period x 2 13 0b11011 periodic timer continuous-scan mode: time = qclk period x 2 14 0b11100 periodic timer continuous-scan mode: time = qclk period x 2 15 0b11101 periodic timer continuous-scan mode: time = qclk period x 2 16 0b11110 periodic timer continuous-scan mode: time = qclk period x 2 17 0b11111 external gated continuous-scan mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-39 which is readable only when the test mode is enabled. most of the bits are typically written once when the software initializes the qadc64, and not changed afterwards. qacr2 ? control register 2 0x30 480e 0x30 4c0e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 cie2 pie2 sse2 mq2 re- sume bq2 reset: 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 table 13-14 qacr2 bit descriptions bit(s) name description 0cie2 queue 2 completion interrupt enable. cie2 enables completion interrupts for queue 2. the inter- rupt request is generated when the conversion is complete for the last ccw in queue 2. 0 = queue 2 completion interrupts disabled. 1 = generate an interrupt request after completing the last ccw in queue 2. 1pie2 queue 2 pause interrupt enable. pie2 enables pause interrupts for queue 2. the interrupt re- quest is generated when the conversion is complete for a ccw that has the pause bit set. 0 = queue 2 pause interrupts disabled. 1 = generate an interrupt request after completing a ccw in queue 2 which has the pause bit set. 2 sse2 queue 2 single-scan enable bit. sse2 enables a single-scan of queue 2 after a trigger event oc- curs. the sse2 bit may be set to a one during the same write cycle that sets the mq2 bits for the single-scan queue operating mode. the single-scan enable bit can be written as a one or a zero, but is always read as a zero. the sse2 bit allows a trigger event to initiate queue execution for any single-scan operation on queue 2. the qadc64 clears sse2 when the single-scan is complete. 3:7 mq2 queue 2 operating mode. the mq2 field selects the queue operating mode for queue 2. table 13-15 shows the bits in the mq2 field which enable different queue 2 operating modes. 8 resume queue 2 resume. resume selects the resumption point after queue 2 is suspended by queue 1. if resume is changed during execution of queue 2, the change is not recognized until an end- of-queue condition is reached, or the queue operating mode of queue 2 is changed. 0 = after suspension, begin execution with the first ccw in queue 2 or the current sub-queue. 1 = after suspension, begin execution with the aborted ccw in queue 2. 9:15 bq2 beginning of queue 2. the bq2 field indicates the location in the ccw table where queue 2 be- gins. the bq2 field also indicates the end of queue 1 and thus creates an end-of-queue condition for queue 1. setting bq2 to any value 64 (0b1000000) allows the entire ram space for queue 1 ccws. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-40 13.12.9 qadc64 status register 0 (qasr0) qasr0 contains information about the state of each queue and the current a/d con- version. except for the four flag bits (cf1, pf1, cf2, and pf2) and the two trigger overrun bits (tor1 and tor2), all of the status register fields contain read-only data. table 13-15 queue 2 operating modes mq2 operating modes 0b00000 disabled mode, conversions do not occur 0b00001 software triggered single-scan mode (started with sse2) 0b00010 external trigger rising edge single-scan mode 0b00011 external trigger falling edge single-scan mode 0b00100 interval timer single-scan mode: interval = qclk period x 2 7 0b00101 interval timer single-scan mode: interval = qclk period x 2 8 0b00110 interval timer single-scan mode: interval = qclk period x 2 9 0b00111 interval timer single-scan mode: interval = qclk period x 2 10 0b01000 interval timer single-scan mode: interval = qclk period x 2 11 0b01001 interval timer single-scan mode: interval = qclk period x 2 12 0b01010 interval timer single-scan mode: interval = qclk period x 2 13 0b01011 interval timer single-scan mode: interval = qclk period x 2 14 0b01100 interval timer single-scan mode: interval = qclk period x 2 15 0b01101 interval timer single-scan mode: interval = qclk period x 2 16 0b01110 interval timer single-scan mode: interval = qclk period x 2 17 0b01111 reserved mode 0b10000 reserved mode 0b10001 software triggered continuous-scan mode (started with sse2) 0b10010 external trigger rising edge continuous-scan mode 0b10011 external trigger falling edge continuous-scan mode 0b10100 periodic timer continuous-scan mode: period = qclk period x 2 7 0b10101 periodic timer continuous-scan mode: period = qclk period x 2 8 0b10110 periodic timer continuous-scan mode: period = qclk period x 2 9 0b10111 periodic timer continuous-scan mode: period = qclk period x 2 10 0b11000 periodic timer continuous-scan mode: period = qclk period x 2 11 0b11001 periodic timer continuous-scan mode: period = qclk period x 2 12 0b11010 periodic timer continuous-scan mode: period = qclk period x 2 13 0b11011 periodic timer continuous-scan mode: period = qclk period x 2 14 0b11100 periodic timer continuous-scan mode: period = qclk period x 2 15 0b11101 periodic timer continuous-scan mode: period = qclk period x 2 16 0b11110 periodic timer continuous-scan mode: period = qclk period x 2 17 0b11111 reserved mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-41 the four flag bits and the two trigger overrun bits are cleared by writing a zero to the bit after the bit was previously read as a one. qasr0 ? qadc64 status register 0x30 4810 0x30 4c10 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 cf1 pf1 cf2 pf2 tor1 tor2 qs cwp reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 13-16 qasr0 bit descriptions bit(s) name description 0cf1 queue 1 completion flag. cf1 indicates that a queue 1 scan has been completed. cf1 is set by the qadc64 when the conversion is complete for the last ccw in queue 1, and the result is stored in the result table. 0 = queue 1 scan is not complete 1 = queue 1 scan is complete 1pf1 queue 1 pause flag. pf1 indicates that a queue 1 scan has reached a pause. pf1 is set by the qadc64 when the current queue 1 ccw has the pause bit set, the selected input channel has been converted, and the result has been stored in the result table. 0 = queue 1 has not reached a pause 1 = queue 1 has reached a pause 2cf2 queue 2 completion flag. cf2 indicates that a queue 2 scan has been completed. cf2 is set by the qadc64 when the conversion is complete for the last ccw in queue 2, and the result is stored in the result table. 0 = queue 2 scan is not complete 1 = queue 2 scan is complete 3pf2 queue 2 pause flag. pf2 indicates that a queue 2 scan has reached a pause. pf2 is set by the qadc64 when the current queue 2 ccw has the pause bit set, the selected input channel has been converted, and the result has been stored in the result table. 0 = queue 2 has not reached a pause 1 = queue 2 has reached a pause 4tor1 ? queue 1 trigger overrun. tor1 indicates that an unexpected queue 1 trigger event has oc- curred. tor1 can be set only while queue 1 is active. a trigger event generated by a transition on etrig1/etrig2 may be recorded as a trigger over- run. tor1 can only be set when using an external trigger mode. tor1 cannot occur when the software initiated single-scan mode or the software initiated continuous-scan mode is selected. 0 = no unexpected queue 1 trigger events have occurred 1 = at least one unexpected queue 1 trigger event has occurred 5tor2 queue 2 trigger overrun. tor2 indicates that an unexpected queue 2 trigger event has occurred. tor2 can be set when queue 2 is in the active, suspended, and trigger pending states. a trigger event generated by a transition depending on the value of trg in qacr or etrig1/ etrig2 or by the periodic/interval timer may be recorded as a trigger overrun. tor2 can only be set when using an external trigger mode or a periodic/interval timer mode. trigger overruns cannot occur when the software initiated single-scan mode and the software initiated continuous- scan mode are selected. 0 = no unexpected queue 2 trigger events have occurred 1 = at least one unexpected queue 2 trigger event has occurred f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-42 13.12.10 qadc64 status register 1 (qasr1) the qasr1 contains two fields: command word pointers for queue 1 and queue 2. 6:9 qs queue status. this 4-bit read-only field indicates the current condition of queue 1 and queue 2. qs[0:1] are associated with queue 1, and qs[2:3] are associated with queue 2. since the queue priority scheme interlinks the operation of queue 1 and queue 2, the status bits should be con- sidered as one 4-bit field. table 13-17 shows the bit encodings of the qs field. 10:15 cwp command word pointer. cwp indicates which ccw is executing at present, or was last complet- ed. the cwp is a read-only field; writes to it have no effect. table 13-17 queue status qs description 0b0000 queue 1 idle, queue 2 idle 0b0001 queue 1 idle, queue 2 paused 0b0010 queue 1 idle, queue 2 active 0b0011 queue 1 idle, queue 2 trigger pending 0b0100 queue 1 paused, queue 2 idle 0b0101 queue 1 paused, queue 2 paused 0b0110 queue 1 paused, queue 2 active 0b0111 queue 1 paused, queue 2 trigger pending 0b1000 queue 1 active, queue 2 idle 0b1001 queue 1 active, queue 2 paused 0b1010 queue 1 active, queue 2 suspended 0b1011 queue 1 active, queue 2 trigger pending 0b1100 reserved 0b1101 reserved 0b1110 reserved 0b1111 reserved qasr1 ? status register1 0x30 4812 0x30 4c12 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved cwpq1 reserved cwpq2 reset: 0 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1 table 13-16 qasr0 bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-43 13.12.11 conversion command word table the ccw table is a ram, 64 words long and 10 bits wide, which can be programmed by the software to request conversions of one or more analog input channels. the en- tries in the ccw table are 10-bit conversion command words. the ccw table is writ- ten by software and is not modified by the qadc64. each ccw requests the conversion of an analog channel to a digital result. the ccw specifies the analog channel number, the input sample time, and whether the queue is to pause after the current ccw. the ten implemented bits of the ccw word are read/write data. they may be written when the software initializes the qadc64. unimplemented bits are read as zeros, and write operations have no effect. each locati on in the ccw table corresponds to a lo- cation in the result word table. when a conversion is completed for a ccw entry, the 10-bit result is written in the corresponding result word entry. the qadc64 provides 64 ccw table entries. the beginning of queue 1 is always the first location in the ccw ta ble. the first loca- tion of queue 2 is specified by the beginning of queue 2 pointer (bq2) in qacr2. to dedicate the entire ccw table to qu eue 1, software must do the following:  program queue 2 to be in the disabled mode, and  program the beginning of bq2 to 64. to dedicate the entire ccw table to queue 2, software must do the following:  program queue 1 to be in the disabled mode  program bq2 to be the first location in the ccw table. table 13-18 qasr0 bit descriptions bit(s) name description 0:1 ? reserved 2:7 cwpq1 command word pointer for queue 1. this field is a software read-only field, and write operations have no effect. cwpq1 allows software to read the last executed ccw in queue 1, regardless which queue is active. the cwpq1 field is a ccw word pointer with a valid range of 0 to 63. in contrast to cwp, cpwq1 is updated when the conversion result is written. when the qadc64 finishes a conversion in queue 1, both the result register is written and the cwpq1 are updated. finally, when queue 1 operation is terminated after a ccw is read that is defined as bq2, cwp points to bq2 while cwpq1 points to the last ccw queue 1. during the stop mode, the cwpq1 is reset to 63, since the control registers and the analog logic are reset. when the freeze mode is entered, the cwpq1 is unchanged; it points to the last exe- cuted ccw in queue 1. 8:9 ? reserved 10:15 cwpq2 command word pointer for queue 2. this field is a software read-only field, and write operations have no effect. cwpq2 allows software to read the last executed ccw in queue 2, regardless which queue is active. the cwpq2 field is a ccw word pointer with a valid range of 0 to 63. in contrast to cwp, cpwq2 is updated when the conversion result is written. when the qadc64 finishes a conversion in queue 2, both the result register is written and the cwpq2 are updated. during the stop mode, the cwpq2 is reset to 63, since the control registers and the analog logic are reset. when the freeze mode is entered, the cwp is unchanged; it points to the last executed ccw in queue 2. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-44 figure 13-12 illustrates the operation of the queue structure. figure 13-12 qadc64 conversion queue operation to prepare the qadc64 for a scan sequence, the software writes to the ccw table to specify the desired channel conversions. the software also establishes the criteria for initiating the queue execution by programming the queue operating mode. the queue operating mode determines what type of trigger event causes queue execution to be- gin. ?trigger event? refers to any of the ways to cause the qadc64 to begin executing the ccws in a queue or sub-queue. an external trigger is only one of the possible trig- ger events. a scan sequence may be initiated by the following:  a software command qadc64 c 00 begin queue 1 bq2 63 end of queue 1 begin queue 2 end of queue 2 p ist chan 68 7 9 11 12 13 14 10 15 p = pause after conversion until next trigger ist = input sample time chan = channel number and end-of-queue code 10-bit conversion command word format 00 63 result result s result 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 right justified, unsigned result left justified, unsigned result left justified, signed result 10-bit result, readable in three 16-bit formats s = sign bit channel select, sample, hold, and a/d conversion conversion command word (ccw) table result word table byp byp = bypass buffer amplifier 68 711121314 10 15 5 4 3 2 19 0 lsb msb lsb msb 68 711121314 10 15 5 4 3 2 19 0 lsb msb 68 711121314 10 15 5 4 3 2 19 0 lsb msb f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-45  expiration of the periodic/interval timer  external trigger signal  external gated signal (queue 1 only) the software also specifies whether the qadc64 is to perform a single pass through the queue or is to scan continuously. when a single-scan mode is selected, the soft- ware selects the queue operating mode and sets the single-scan enable bit. when a continuous-scan mode is selected, the queue remains active in the selected queue op- erating mode after the qadc64 completes each queue scan sequence. during queue execution, the qadc64 reads each ccw from the active queue and ex- ecutes conversions in three stages:  initial sample  final sample  resolution during initial sample, a buffered version of the selected input channel is connected to the sample capacitor at the output of the sample buffer amplifier. during the final sample period, the sample buffer amplifier is bypassed, and the mul- tiplexer input charges the sample capacitor directly. each ccw specifies a final input sample time of 2, 4, 8, or 16 qclk cycl es. when an analog-to-digital conversion is complete, the result is written to the corresponding location in the result word table. the qadc64 continues to sequentially execute each ccw in the queue until the end of the queue is detected or a pause bit is found in a ccw. when the pause bit is set in the current ccw, the qadc64 stops execution of the queue until a new trigger event occurs. the pause status flag bit is set, which may cause an interrupt to notify the software that the queue has reached the pause state. after the trigger event occurs, the paused state ends and the qadc64 continues to execute each ccw in the queue until another pause is encountered or the end of the queue is detected. the following indicate the end-of-queue condition:  the ccw channel field is programmed with 63 (0x3f) to specify the end of the queue  the end of queue 1 is implied by the beginning of queue 2, which is specified in the bq2 field in qacr2  the physical end of the queue ram space defines the end of either queue when any of the end-of-queue conditions are recognized, a queue completion flag is set, and if enabled, an interrupt is issued to the software. the fo llowing situations pre- maturely terminate queue execution:  since queue 1 is higher in priority than queue 2, when a trigger event occurs on queue 1 during queue 2 execution, the execution of queue 2 is suspended by aborting the execution of the ccw in progress, and the queue 1 execution be- gins. when queue 1 execution is completed, queue 2 conversions restart with the first ccw entry in queue 2 or the first ccw of the queue 2 sub-queue being ex- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-46 ecuted when queue 2 was suspended. alternately, conversions can restart with the aborted queue 2 ccw entry. the resume bit in qacr2 allows the software to select where queue 2 begins after susp ension. by choosing to re-execute all of the suspended queue 2 queue and sub-queue ccws, all of the samples are guar- anteed to have been taken during the same scan pass. however, a high trigger event rate for queue 1 can prohibit the completion of queue 2. if this occurs, the software may choose to begin execution of queue 2 with the aborted ccw entry.  software can change the queue operating mode to disabled mode. any conver- sion in progress for that queue is aborted. putting a queue into the disabled mode does not power down the converter.  software can change the queue operating mode to another valid mode. any con- version in progress for that queue is aborted. the queue restarts at the beginning of the queue, once an appropriate trigger event occurs.  for low power operation, software can set the stop mode bit to prepare the mod- ule for a loss of clocks. the qadc64 aborts any conversion in progress when the stop mode is entered.  when the freeze enable bit is set by software and the imb internal freeze line is asserted, the qadc64 freezes at the end of the conversion in progress. when internal freeze is negated, the qadc64 resumes queue execution beginning with the next ccw entry. ccw ? conversion command word table 0x30 4a00 ? 0x30 4a7e 0x30 4e00 ? 0x30 4e7e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved p byp ist chan reset: 0 0 0 0 0 0 u u u u u u u u u u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-47 table 13-19 ccw bit descriptions bit(s) name description 0:5 ? reserved 6p pause. the pause bit allows the creation of sub-queues within queue 1 and queue 2. the qadc64 performs the conversion specified by the ccw with the pause bit set, and then the queue enters the pause state. another trigger event causes execution to continue from the pause to the next ccw. 0 = do not enter the pause state after execution of the current ccw. 1 = enter the pause state after execution of the current ccw. 7byp sample amplifier bypass. setting byp enables the amplifier bypass mode for a conversion, and subsequently changes the timing. refer to 13.9.1.1 amplifier bypass mode conversion tim- ing for more information. 0 = amplifier bypass mode disabled. 1 = amplifier bypass mode enabled. 8:9 ist input sample time. the ist field specifies the length of the sample window. longer sample times permit more accurate a/d conversions of signals with higher source impedances, especially if byp = 1. 00 = qckl period x 2 01 = qckl period x 4 10 = qckl period x 8 11 = qckl period x 16 10:15 chan channel number. the chan field selects the input channel number corresponding to the analog input pin to be sampled and converted. the analog input pin channel number assignments and the pin definitions vary depending on whether the qadc64 is operating in multiplexed or non- multiplexed mode. the queue scan mechanism sees no distinction between an internally or ex- ternally multiplexed analog input. if chan specifies a reserved channel number (channels 32 to 47) or an invalid channel number (channels 4 to 31 in non-multiplexed mode), the low reference level (vrl) is converted. program- ming the channel field to channel 63 indicates the end of the queue. channels 60 to 62 are spe- cial internal channels. when one of these channels is selected, the sample amplifier is not used. the value of vrl, vrh, or (v rh ? v rl )/2 is placed directly into the converter. programming the input sample time to any value other than two for one of the internal channels has no benefit ex- cept to lengthen the overall conversion time. table 13-20 shows the channel number assignments for the non-multiplexed mode. table 13- 21 shows the channel number assignments for the multiplexed mode. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-48 table 13-20 non-multiplexed channe l assignments a nd pin designations non-multiplexed input pins channel number in chan port pin name analog pin name other functions pin type (i/o) binary decimal pqb0 pqb1 pqb2 pqb3 an0 an1 an2 an3 ? ? ? ? i i i i 000000 000001 000010 000011 0 1 2 3 ? ? pqb4 pqb5 ? ? an48 an49 invalid reserved ? ? ? ? i i 000100 to 011111 10xxxx 110000 110001 4 to 31 32 to 47 48 49 pqb6 pqb7 pqa0 pqa1 an50 an51 an52 an53 ? ? ? ? i i i/o i/o 110010 110011 110100 110101 50 51 52 53 pqa2 pqa3 pqa4 pqa5 an54 an55 an56 an57 ? ? ? ? i/o i/o i/o i/o 110110 110111 111000 111001 54 55 56 57 pqa6 pqa7 ? ? an58 an59 v rl v rh ? ? ? ? i/o i/o i i 111010 111011 111100 111101 58 59 60 61 ? ? ? ? (v rh ? v rl )/2 end of queue code ? ? 111110 111111 62 63 table 13-21 multiplexe d channel assignments and pin designations multiplexed input pins channel number in chan port pin name analog pin name other functions pin type (i/o) binary decimal pqb0 pqb1 pqb2 pqb3 anw anx any anz ? ? ? ? i i i i 00xxx0 00xxx1 01xxx0 01xxx1 0 to 14 even 1 to 15 odd 16 to 30 even 17 to 31 odd ? pqb4 pqb5 pqb6 ? an48 an49 an50 reserved ? ? ? ? i i i 10xxxx 110000 110001 110010 32 to 47 48 49 50 pqb7 pqa0 pqa1 pqa2 an51 ? ? ? ? ma0 ma1 ma2 i i/o i/o i/o 110011 110100 110101 110110 51 52 53 54 pqa3 pqa4 pqa5 pqa6 an55 an56 an57 an58 ? ? ? ? i/o i/o i/o i/o 110111 111000 111001 111010 55 56 57 58 pqa7 ? ? ? an59 v rl v rh ? ? ? ? (vrh -vrl)/2 i/o i i ? 111011 111100 111101 111110 59 60 61 62 ? ? end of queue code ? 111111 63 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-49 13.12.12 result word table the result word table is a 64-word long, 10 -bit wide ram. the qadc64 writes a result word after completing an analog conversion specified by the corresponding ccw. the result word table can be read or written, but in normal operation, software reads the result word table to obtain analog conversions from the qadc64. unimplemented bits are read as zeros, and write operations have no effect. while there is only one result word table, the data can be accessed in three different alignment formats:  right-justified, with zeros in the higher order unused bits.  left-justified, with the most significant bi t inverted to form a sign bit, and zeros in the unused lower order bits.  left-justified, with zeros in the unused lower order bits. the left-justified, signed format corresponds to a half-scale, offset binary, two?s com- plement data format. the data is routed onto the imb according to the selected format. the address used to access the table determines the data alignment format. all write operations to the result word table are right-justified. the conversion result is unsigned, right-justified data. unused bits return zero when read. the conversion result is signed, left-justified data. unused bits return zero when read. rjurr ? right-justified, unsigned result register 0x30 4a80 ? 0x30 4afe 0x30 4e80 ? 0x30 4efe msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved result reset: 0 0 0 0 0 0 ljsrr ? left-justified, signed result register 0x30 4b00 ? 0x30 4b7e 0x30 4f00 ? 0x30 4f7e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 s 1 notes: 1. s = sign bit. result reserved reset: 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued analog-to-digital converter module-64 motorola user?s manual rev. 15 october 2000 13-50 the conversion result is unsigned, left-justified data. unused bits return zero when read. ljurr ? left-justified, unsigned result register 0x30 4b80 ? 0x30 4bfe 0x30 4f80 ? 0x30 4ffe msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 result reserved reset: 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-1 section 14 queued serial multi-channel module 14.1 overview the queued serial multi-channel module (qsmcm) provides three serial communica- tion interfaces: the queued serial peripheral interface (qspi) and two serial communi- cations interfaces (sci1 and sci2). these submodules communicate with the cpu via a common slave bus interface unit (sbiu). the qspi is a full-duplex, synchronous serial interface for communicating with periph- erals and other mcus. it is enhanced from the original spi in the qsmcm (queued serial module) to include a total of 160 bytes of queue ram to accommodate more re- ceive, transmit, and control information. the qspi is fully compatible with the spi sys- tems found on other motorola devices. the dual, independent scis are used to communicate with external devices and other mcus via an asynchronous serial bus. each sci is a full-duplex universal asynchro- nous receiver transmitter (uart) serial interface. the original qsmcm sci is en- hanced by the addition of an sci and a common external baud clock source. the sci1 has the ability to use the resultant baud clock from sci2 as the input clock source for the sci1 baud rate generator. also, the sci1 has an additional mode of op- eration that allows queuing of transmit and receive data frames. if the queue feature is enabled, a set of 16 entry queues is allocated for the receive and/or transmit oper- ation. 14.2 block diagram figure 14-1 depicts the major components of the qsmcm. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-2 figure 14-1 qsmcm block diagram 14.3 signal descriptions the qsmcm has 12 external pins, as shown in figure 14-1 . seven of the pins, if not in use for their submodule function, can be used as general-purpose i/o port pins. the rxdx and txdx pins can alternately serve as general-purpose input-only and output- only signals, respectively. eck is a dedicated clock pin. for detailed descriptions of qsmcm signals, refer to 14.6 qsmcm pin control reg- isters , 14.7.3 qspi pins , and 14.8.6 sci pins . 14.4 memory map the qsmcm memory map, shown in table 14-1 , includes the global registers, the qspi and dual sci control and status registers, and the qspi ram. the qsmcm memory map can be divided into supervisor-only data space and assignable data space. the address offsets shown are from the base address of the qsmcm module. refer to 1.3 MPC555 / mpc556 address map for a diagram of the MPC555 / mpc556 internal memory map. port qs sbiu imb3* qspi miso/qgpio4 sck/qgpio6 txd1/qgpo1 txd2/qgpo2 rxd1/qgpi1 rxd2/qgpi2 7 eck qspi queue ram *note: sbiu bus and interface to imb3 are each 16 bits wide. pcs3/qgpio3 2 2 sci1 dsci sci2 receive and transmit queue pcs2/qgpio2 mosi/qgpio5 pcs1/qgpio1 pcs[0]/ss /qgpio0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-3 table 14-1 qsmcm register map access 1 address msb 2 0 lsb 15 s 0x30 5000 qsmcm module configuration register (qsmcmmcr) see table 14-4 for bit descriptions. t 0x30 5002 qsmcm test register (qtest) s 0x30 5004 dual sci interrupt level (qdsci_il) see table 14-5 for bit descriptions. reserved s 0x30 5006 reserved queued spi interrupt level (qspi_il) see table 14-6 for bit descriptions. s/u 0x30 5008 sci1control register 0 (scc1r0) see table 14-23 for bit descriptions. s/u 0x30 500a sci1control register 1 (scc1r1) see table 14-24 for bit descriptions. s/u 0x30 500c sci1 status register (sc1sr) see table 14-25 for bit descriptions. s/u 0x30 500e sci1 data register (sc1dr) see table 14-26 for bit descriptions. s/u 0x30 5010 reserved s/u 0x30 5012 reserved s/u 0x30 5014 reserved qsmcm port q data register (portqs) see 14.6.1 port qs data register (portqs) for bit descriptions. s/u 0x30 5016 qsmcm pin assignment register (pqspar) see table 14-10 for bit descriptions. qsmcm data direction register (ddrqs) see table 14-11 for bit descriptions. s/u 0x30 5018 qspi control register 0 (spcr0) see table 14-13 for bit descriptions. s/u 0x30 501a qspi control register 1 (spcr1) see table 14-15 for bit descriptions. s/u 0x30 501c qspi control register 2 (spcr2) see table 14-16 for bit descriptions. s/u 0x30 501e qspi control register 3 (spcr3) see table 14-17 for bit descriptions. qspi status register (spsr) see table 14-18 for bit descriptions. s/u 0x30 5020 sci2 control register 0 (scc2r0) s/u 0x30 5022 sci2 control register 1 (scc2r1) s/u 0x30 5024 sci2 status register (sc2sr) s/u 0x30 5026 sci2 data register (sc2dr) s/u 0x30 5028 qsci1 control register (qsci1cr) see table 14-30 for bit descriptions. s/u 0x30 502a qsci1 status register (qsci1sr) see table 14-31 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-4 the supervisor-only data space segment contains the qsmcm global registers. these registers define parameters needed by the qsmcm to integrate with the mcu. access to these registers is permitted only when the cpu is operating in supervisor mode. assignable data space can be either restricted to supervisor-only access or unrestrict- ed to both supervisor and user accesses. the supervisor (supv) bit in the qsmcm module configuration register (qsmcmmcr) designates the assignable data space as either supervisor or unrestricted. if supv is set, then the space is designated as supervisor-only space. access is then permitted only when the cpu is operating in su- pervisor mode. if supv is clear, both user and supervisor accesses are permitted. to clear supv, the cpu must be in supervisor mode. the qsmcm assignable data space segment contains the control and status registers for the qspi and sci submodules, as well as the qspi ram. all registers and ram can be accessed on byte (8-bits), half-word (16-bits), and word (32-bit) boundaries. word accesses require two consecutive imb3 bus cycles. 14.5 qsmcm global registers the qsmcm global registers contain system parameters us ed by the qspi and sci submodules for interfacing to the cpu and the intermodule bus. the global registers are listed in table 14-2 qsmcm global registers s/u 0x30 502c ? 0x30 504a transmit queue locations (sctq) s/u 0x30 504c ? 0x30 506a receive queue locations (scrq) s/u 0x30 506c ? 0x30 513f 3 reserved s/u 0x30 5140 ? 0x30 517f receive data ram (rec.ram) s/u 0x30 5180 ? 0x30 51bf transmit data ram (tran.ram) s/u 0x30 51c0 ? 0x30 51df command ram (comd.ram) notes: 1. s = supervisor access only s/u = supervisor access only or unrestricted user access (assignable data space). 2. 8-bit registers, such as spcr3 and spsr, are on 8-bit boundaries. 16-bit registers such as spcr0 are on 16-bit boundaries. 3. note that qram offsets have been changed from the original (modular family) qsmcm. table 14-1 qsmcm register map (continued) access 1 address msb 2 0 lsb 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-5 14.5.1 low-power stop operation when the stop bit in qsmcmmcr is set, the imb clock input to the qsmcm is dis- abled and the module enters a low-power operating state. qsmcmmcr is the only register guaranteed to be readable while stop is asserted. the qspi ram is not readable in low-power stop mode. however, writes to ram or any register are guar- anteed valid while stop is asserted. stop can be written by the cpu and is cleared by reset. system software must bring each submodule to an orderly stop before setting stop to avoid data corruption. the sci receiver and transmitter should be disabled after transfers in progress are complete. the qspi can be halted by setting the halt bit in spcr3 and then setting stop after the halta flag is set. 14.5.2 freeze operation the frz1 bit in qsmcmmcr determines how the qsmcm responds when the imb3 freeze signal is asserted. freeze is asserted when the cpu enters background debug mode. setting frz1 causes the qspi to halt on the first transfer boundary fol- lowing freeze assertion. freeze causes the sci1 transmit queue to halt on the first transfer boundary following freeze assertion. 14.5.3 access protection the supv bit in the qmcr defines the assignable qsmcm registers as either super- visor-only data space or unrestricted data space. when the supv bit is set, all registers in the qsmcm are placed in supervisor-only space. for any access from within user mode, the imb3 address acknowledge (aack ) signal is asserted and a bus error is generated. because the qsmcm contains a mix of supervisor and user registers, aack is assert- ed for either supervisor or user mode a ccesses, and the bus cycle remains internal. if a supervisor-only register is accessed in user mode, the module responds as if an ac- cess had been made to an unauthorized register location, and a bus error is generat- ed. table 14-2 qsmcm global registers access 1 notes: 1. s = supervisor access only s/u = supervisor access only or unrestricted user access (assignable data space). address msb 2 2. 8-bit registers reside on 8-bit boundaries. 16-bit registers reside on 16-bit boundaries. lsb s 0x30 5000 qsmcm module configuration register (qsmcmmcr) see table 14-4 for bit descriptions. t 0x30 5002 qsmcm test register (qtest) s 0x30 5004 dual sci interrupt level (qdsci_il) see table 14-5 for bit descriptions. reserved s 0x30 5006 reserved queued spi interrupt level (qspi_il) see table 14-6 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-6 14.5.4 qsmcm interrupts the interrupt structure of the imb3 supports a total of 32 interrupt levels that are time multiplexed on the irqb[0:7] lines as seen in figure 14-2 . figure 14-2 qsmcm interrupt levels in this structure, all interrupt sources plac e their asserted level on a time multiplexed bus during four different time slots, with eight levels communicated per slot. the ilbs[0:1] signals indicate which group of eight are being driven on the interrupt re- quest lines. the qsmcm module is capable of generating one of the 32 possible interrupt levels on the imb3. the levels that the interrupt will drive can be programmed into the inter- rupt request level (ildsci and ilqspi) bits located in the interrupt configuration reg- ister (qdsci_il and qspi_il). this va lue determines which interrupt signal (irqb [0:7]) is driven onto the bus during the programmed time slot. figure 14-3 shows a block diagram of the interrupt hardware. table 14-3 interrupt levels ilbs[0:1] levels 00 0:7 01 8:15 10 16:23 11 24:31 imb3 clock ilbs[1:0] imb3 irq [7:0] irq 7:0 00 01 11 10 irq 15:8 irq 23:16 irq 31:24 irq 7:0 00 01 11 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-7 figure 14-3 qspi interrupt generation 14.5.5 qsmcm configurat ion register (qsmcmmcr) the qsmcmmcr contains parameters for interfacing to the cpu and the intermodule bus. this register can be modified only when the cpu is in supervisor mode. qsmcmmcr ? qsmcm configuration register 0x30 5000 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 stop frz1 reserved supv reserved iarb reset: 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 irq [7:0] interrupt level encoder ilbs[1:0] sci1 and 2 int qspi[4:0] int lev reg. [4:0] 2 lev reg. [4:0] 5 5 sci_1 interrupt sci_2 interrupt qspi interrupt 8 interrupt level decoder 8 8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-8 14.5.6 qsmcm test register (qtest) the qtest register is used for factory testing of the mcu. 14.5.7 qsmcm interrupt level registers (qdsci_il, qspi_il) the qdsci_ili and qspi_il registers determine the interrupt level requested by the qsmcm. the two sci submodules (dsci) share a 5-bit interrupt level field, ildsci. the qspi uses a separate field, ilqspi. the level value is used to determine which interrupt is serviced first when two or more modules or external peripherals simulta- neously request an interrupt. the user can select among 32 levels. this register can be accessed only when the cpu is in supervisor mode. table 14-4 qsmcmm cr bit descriptions bit(s) name description 0stop stop enable. refer to 14.5.1 low-power stop operation . 0 = normal clock operation 1 = internal clocks stopped 1frz1 freeze1 bit. refer to 14.5.2 freeze operation . 0 = ignore the freeze signal 1 = halt the qsmcm (on transfer boundary) 2:7 ? reserved 8supv supervisor /unrestricted. refer to 14.5.3 access protection . 0 = assigned registers are unrestricted (user access allowed) 1 = assigned registers are restricted (only supervisor access allowed) 9:11 ? reserved 12:15 iarb this field currently has no effect. it is implemented for future interrupt arbitration schemes. qdsci_il ? qsm2 dual sci interrupt level register 0x30 5004 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved ildsci reserved reset: 0 0 0 0 0 0 0 0 table 14-5 qdsci_il bit descriptions bit(s) name description 0:2 ? reserved 3:7 ildsci interrupt level of scis 00000 = lowest interrupt level request (level 0) 11111 = highest interrupt level request (level 31) 8:15 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-9 14.6 qsmcm pin control registers table 14-7 lists the three qsmcm pin control registers. the qsmcm uses 12 pins. eleven of the pins, when not being used by the serial sub- systems, form a parallel port on the mcu. (t he eck pin is a dedicat ed external clock source.) the port qs pin assignment register (pqspar) governs the usage of qspi pins. clearing a bit assigns the corresponding pin to general-purpose i/o; setting a bit as- signs the pin to the qspi. pqspar does not affect operation of the sci. when the scix transmitter is disabled, txdx is a discrete output; when the scix receiver is disabled, rxdx is a discrete in- put. when the scix transmitter or receiver is enabled, the associated txdx or rxdx pin is assigned its sci function. the port qs data direction register (ddrq s) determines whether qspi pins are in- puts or outputs. clearing a bit makes the corresponding pin an input; setting a bit makes the pin an output. ddrqs affects both qspi fu nction and i/o function. table 14-10 summarizes the effect of ddrqs bits on qspi pin function. qspi_il ? qspi interrupt level register 0x30 5006 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved ilqspi reset: 0 0 0 0 0 0 0 0 table 14-6 qspi_i l bit descriptions bit(s) name description 0:10 ? reserved 11:15 ilqspi interrupt level of spi 00000 = lowest interrupt level request (level 0) 11111 = highest interrupt level request (level 31) table 14-7 qsmcm pi n control registers address register 0x30 5014 qsmcm port data register (portqs) see 14.6.1 port qs data register (portqs) for bit descriptions. 0x30 5016 portqs pin assignment register (pqspar) see table 14-11 for bit descriptions. 0x30 5017 portqs data direction register (ddrqs) see table 14-11 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-10 ddrqs does not affect sci pin function. txdx pins are always outputs, and rxdx pins are always inputs, regardless of whether they are functioning as sci pins or as portqs pins. the port qs data register (portqs) latches i/o data. portqs writes drive pins de- fined as outputs. portqs reads return data present on the pins. to avoid driving un- defined data, write the first data to portqs before configuring ddrqs. 14.6.1 port qs data register (portqs) portqs determines the actual input or output value of a qsmcm port pin if the pin is defined as general-purpose input or output. all qsmcm pins except the eck pin can be used as general-purpose input and/or output. when the scix transmitter is dis- abled, txdx is a discrete output; when the scix receiver is disabled, rxdx is a dis- crete input. writes to this register affect the pins defined as outputs; reads of this register return the actual value of the pins. table 14-8 effect of ddrqs on qspi pin function qsmcm pin mode ddrqs bit bit state pin function miso master ddqs0 0 serial data input to qspi 1 disables data input slave 0 disables data output 1 serial data output from qspi mosi master ddqs1 0 disables data output 1 serial data output from qspi slave 0 serial data input to qspi 1 disables data input sck 1 notes: 1. sck/qgpio6 is a digital i/o pin unless the spi is enabled (spe set in spcr1), in which case it becomes the qspi serial clock sck. master ddqs2 ? clock output from qspi slave ? clock input to qspi pcs[0]/ss master ddqs3 0 assertion causes mode fault 1 chip-select output slave 0 qspi slave select input 1 disables slave select input pcs[1:3] master ddqs[4:6] 0 disables chip-select output 1 chip-select output slave 0inactive 1inactive f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-11 14.6.2 portqs pin assignment register (pqspar) pqspar determines which of the qspi pins, with the exception of the sck pin, are used by the qspi submodule, and which pins are available for general-purpose i/o. pins may be assigned on a pin-by-pin basis. if the qspi is disabled, the sck pin is automatically assigned its general -purpose i/o function (qgpio6). qspi pins designated by pqspar as general-purpose i/o pins are controlled only by pqsddr and pqspdr; the qspi has no effect on these pins. pqspar does not af- fect the operation of the sci submodule. table 14-9 summarizes the qsmcm pin functions. *see bit descriptions in table 14-11 . portqs ? port qs data register 0x30 5014 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved qdrx d2 qdtx d2 qdrx d1 qdtx d1 0 qdpc s3 qdpc s2 qdpc s1 qdpc s0 qd- sck qd- mosi qdmi- so reset: 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 table 14-9 qsmcm pin functions portqs function qsmcm function qgpi2 rxd2 qgpo2 txd2 qgpi1 rxd1 qgpo1 txd1 qgpio6 sck qgpio5 mosi qgpio4 miso qgpio3 pcs[3] qgpio2 pcs[2] qgpio1 pcs[1] qgpio0 pcs[0] pqspar ? portqs pin assignment register 0x30 5016 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 0 qpap cs3 qpap cs2 qpap cs1 qpap cs0 0 qpa- mosi qpam iso ddrqs* reset: 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-12 14.6.3 portqs data direction register (ddrqs) ddrqs assigns qspi pin as an input or an output regardless of whether the qspi submodule is enabled or disabled. all qspi pins are configured during reset as gen- eral-purpose inputs. this register does not affect sci operation. the txd1 and txd2 remain output pins dedicated to the sci submodules, and the rxd1, rxd2 and eck pins remain input pins dedicated to the sci submodules. *see bit descriptions in table 14-10 . table 14-10 pqspar bit descriptions bit(s) name description 0?reserved 1 qpapcs3 0 = pin is assigned qgpio3 1 = pin is assigned pcs3 function 2 qpapcs2 0 = pin is assigned qgpio2 1 = pin is assigned pcs2 function 3 qpapcs1 0 = pin is assigned qgpio1 1 = pin is assigned pcs1 function 4 qpapcs0 0 = pin is assigned qgpio0 1 = pin is assigned pcs[0] function 5?reserved 6 qpamosi 0 = pin is assigned qgpio5 1 = pin is assigned mosi function 7 qpamiso 0 = pin is assigned qgpio4 1 = pin is assigned miso function 8:15 ddrqs porstqs data direction register. see 14.6.3 portqs data direction register (ddrqs) . ddrqs ? portqs data direction register 0x30 5016 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pqspar* 0 qdd- pcs3 qdd- pcs2 qdd- pcs1 qdd- pcs0 qdd- sck qdd- mosi qdd- miso re- set: 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-13 14.7 queued serial peripheral interface the queued serial peripheral interface (qspi) is used to communicate with external devices through a synchronous serial bus. the qspi is fully compatible with spi sys- tems found on other motorola products, but has enhanced capabilities. the qspi can perform full duplex three-wire or half duplex two-wire transfers. several transfer rates, clocking, and interrupt-driven communication options are available. figure 14-4 is a block diagram of the qspi. table 14-11 ddrqs bit descriptions bit(s) name description 0:7 pqspar portsqs pin assignment register. see 14.6.2 portqs pin assignment register (pqspar) . 8?reserved 9 qddpcs3 qspi pin data direction for the pin pcs3 0 = pin direction is input 1 = pin direction is output 10 qddpcs2 qspi pin data direction for the pin pcs2 0 = pin direction is input 1 = pin direction is output 11 qddpcs1 qspi pin data direction for the pin pcs1 0 = pin direction is input 1 = pin direction is output 12 qddpcs0 qspi pin data direction for the pin pcs[0] 0 = pin direction is input 1 = pin direction is output 13 qddsck qspi pin data direction for the pin sck 0 = pin direction is input 1 = pin direction is output 14 qpdmosi qspi pin data direction for the pin mosi 0 = pin direction is input 1 = pin direction is output 15 qpdmiso qspi pin data direction for the pin miso 0 = pin direction is input 1 = pin direction is output f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-14 figure 14-4 qspi block diagram serial transfers of eight to 16 bits can be specified. programmable transfer length sim- plifies interfacing to devices that require different data lengths. an inter-transfer delay of approximately 0.8 to 204 s (using a 40-mhz imb clock) can be programmed. the default delay is 17 clocks (0.425 s at 40 mhz). programmable delay simplifies the interface to devices that require different delays between transfers. qspi block control registers end queue pointer queue pointer status register delay counter comparator programmable logic array 160-byte qspi ram chip select command done 4 4 2 baud rate generator pcs[2:1] pcs[0]/ss miso mosi sck m s m s 8/16-bit shift register rx/tx data register msb lsb 4 4 queue control block control logic a d d r e s s r e g i s t e r f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-15 a dedicated 160-byte ram is used to store received data, data to be transmitted, and a queue of commands. the cpu can access th ese locations directly. this allows serial peripherals to be treated like memory-mapped parallel devices. the command queue allows the qspi to perform up to 32 serial transfers without cpu intervention. each queue entry contains all the information needed by the qspi to in- dependently complete one serial transfer. a pointer identifies the queue location containing the data and command for the next serial transfer. normally, the pointer address is incremented after each serial transfer, but the cpu can change the pointer value at any time. support for multiple-tasks can be provided by segmenting the queue. the qspi has four peripheral chip-select pins. the chip-select signals simplify inter- facing by reducing cpu intervention. if the chip-select signals are externally decoded, 16 independent select signals can be generated. wrap-around mode allows continuous execution of queued commands. in wrap- around mode, newly received data replaces previously received data in the receive ram. wrap-around mode can simplify the interface with a/d converters by continu- ously updating conversion values stored in the ram. continuous transfer mode allows transfer of an uninterrupted bit stream. from 8 to 512 bits can be transferred without cpu intervention. longer transfers are possible, but minimal intervention is required to prevent loss of data. a standard delay of 17 imb clocks (0.8 s with a 40-mhz imb clock) is inserted between the transfer of each queue entry. 14.7.1 qspi registers the qspi memory map, shown in table 14-12 , includes the qsmcm global and pin control registers, four qspi control registers (spcr[0:3]), the status register (spsr), and the qspi ram. registers and ram can be read and written by the cpu. the memory map can be divided into supervisor-only data space and assignable data space. the address offsets shown are from the base address of the qsmcm module. refer to 1.3 MPC555 / mpc556 address map for a diagram of the MPC555 / mpc556 internal memory map. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-16 to ensure proper operation, set the qspi enable bit (spe) in spcr1 only after initial- izing the other control registers. setting this bit starts the qspi. rewriting the same value to a control register does not affect qspi operation with the exception of writing newqp in spcr2. rewriting the same value to these bits causes the ram queue pointer to restart execution at the designated location. before changing control bits, the user should halt the qspi. writing a different value into a control register other than spcr2 while the qspi is enabled may disrupt oper- ation. spcr2 is buffered, preventing any disruption of the current serial transfer. after the current serial transfer is completed, the new spcr2 value becomes effective. 14.7.1.1 qspi control register 0 spcr0 contains parameters for configuring the qspi before it is enabled. the cpu has read/write access to spcr0, but the qs pi has read access only. spcr0 must be initialized before qspi operation begins. writing a new value to spcr0 while the qspi is enabled disrupts operation. table 14-12 qspi register map access 1 notes: 1. s = supervisor access only s/u = supervisor access only or unrestricted user access (assignable data space). address msb 2 2. 8-bit registers, such as spcr3 and spsr, are on 8-bit boundaries. 16-bit registers such as spcr0 are on 16-bit boundaries. lsb s/u 0x30 5018 qspi control register 0 (spcr0) see table 14-13 for bit descriptions. s/u 0x30 501a qspi control register 1 (spcr1) see table 14-15 for bit descriptions. s/u 0x30 501c qspi control register 2 (spcr2) see table 14-16 for bit descriptions. s/u 0x30 501e/ 0x30 501f qspi control register 3 (spcr3) see table 14-17 for bit descriptions. qspi status register (spsr) see table 14-18 for bit descriptions. s/u 0x30 5140 ? 0x30 517f receive data ram (32 half-words) s/u 0x30 5180 ? 0x30 51bf transmit data ram (32 half-words) s/u 0x30 51c0 ? 0x30 51df command ram (32 bytes) spcr0 ? qspi control register 0 0x30 5018 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 mstr wom q bits cpol cpha spbr reset: 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-17 table 14-13 spcr0 bit descriptions bit(s) name description 0mstr master/slave mode select 0 = qspi is a slave device and only responds to externally generated serial transfers. 1 = qspi is the system master and can initiate transmission to external spi devices. 1womq wired-or mode for qspi pins. this bit controls the qspi pins regardless of whether they are used as general-purpose outputs or as qspi outputs, and regardless of whether the qspi is en- abled or disabled. 0 = pins designated for output by ddrqs operate in normal mode. 1 = pins designated for output by ddrqs operate in open drain mode. 2:5 bits bits per transfer. in master mode, when bitse is set in a command ram byte, bits determines the number of data bits transferred. when bitse is cleared, eight bits are transferred regardless of the value in bits. in slave mode, the bits field always determines the number of bits the qspi will receive during each transfer before storing the received data. data transfers from 8 to 16 bits are supported. illegal (reserved) values default to eight bits. table 14-14 shows the number of bits per transfer. 6cpol clock polarity. cpol is used to determine the inactive state of the serial clock (sck). it is used with cpha to produce a desired clock/data relationship between master and slave devices. 0 = the inactive state of sck is logic zero. 1 = the inactive state of sck is logic one. 7cpha clock phase. cpha determines which edge of sck causes data to change and which edge causes data to be captured. cpha is used with cpol to produce a desired clock/data relation- ship between master and slave devices. 0 = data is captured on the leading edge of sck and changed on the trailing edge of sck. 1 = data is changed on the leading edge of sck and captured on the trailing edge of sck 8:15 spbr serial clock baud rate. the qspi uses a modulus counter to derive the sck baud rate from the mcu imb clock. baud rate is selected by writing a value from 2 to 255 into spbr. the following equation determines the sck baud rate: refer to 14.7.5.2 baud rate selection for more information. table 14-14 bits per transfer bits[3:0] bits per transfer 0000 16 0001 to 0111 reserved (defaults to 8) 1000 8 1001 9 1010 10 1011 11 1100 12 1101 13 1110 14 1111 15 sck baud rate = f sys 2 x spbr f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-18 14.7.1.2 qspi control register 1 spcr1 enables the qspi and specifies transfer delays. the cpu has read/write ac- cess to spcr1, but the qspi has read access only to all bits except spe. spcr1 must be written last during initialization because it contains spe. the qspi automati- cally clears this bit after it completes all serial transfers or when a mode fault occurs. writing a new value to spcr1 while the qspi is enabled disrupts operation. 14.7.1.3 qspi control register 2 spcr2 contains qspi queue pointers, wraparound mode control bits, and an interrupt enable bit. the cpu has read/write access to spcr2, but the qspi has read access only. writes to this register are buffere d. new spcr2 values become effective only after completion of the current serial tran sfer. rewriting newqp in spcr2 causes ex- ecution to restart at the designated location. reads of spcr2 return the current value of the register, not the buffer. spcr1 ? qspi control register 1 0x30 501a msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 spe dsckl dtl reset: 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 table 14-15 spcr1 bit descriptions bit(s) name description 0spe qspi enable. refer to 14.7.4.1 enabling, disabling, and halting the spi . 0 = qspi is disabled. qspi pins can be used for general-purpose i/o. 1 = qspi is enabled. pins allocated by pqspar are controlled by the qspi. 1:7 dsckl delay before sck. when the dsck bit is set in a command ram byte, this field determines the length of the delay from pcs valid to sck transition. the following equation determines the ac- tual delay before sck: where dsckl equals is in the range of 1 to 127. refer to 14.7.5.3 delay before transfer for more information. 8:15 dtl length of delay after transfer. when the dt bit is set in a command ram byte, this field deter- mines the length of the delay after a serial transfer. the following equation is used to calculate the delay: where dtl is in the range of 1 to 255. a zero value for dtl causes a delay-after-transfer value of 8192 f sys (204.8 s with a 40-mhz imb clock). refer to 14.7.5.4 delay after transfer for more information. pcs to sck delay dsckl f sys ------------------- - = delay after transfer 32 d tl f sys ----------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-19 14.7.1.4 qspi control register 3 spcr3 contains the loop mode enable bit, halt and mode fault interrupt enable, and the halt control bit. the cpu has read/write access to spcr3, but the qspi has read access only. spcr3 must be initialized before qspi operation begins. writing a new value to spcr3 while the qspi is enabled disrupts operation. *see bit descriptions in table 14-18 . spcr2 ? qspi control register 2 0x30 501c msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 spifie wren wrto endqp reserved newqp reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 14-16 spcr2 bit descriptions bit(s) name description 0 spifie spi finished interrupt enable. refer to 14.7.4.2 qspi interrupts . 0 = qspi interrupts disabled 1 = qspi interrupts enabled 1wren wrap enable. refer to 14.7.5.7 master wraparound mode . 0 = wraparound mode disabled. 1 = wraparound mode enabled. 2wrto wrap to. when wraparound mode is enabled and after the end of queue has been reached, wrto determines which address the qspi executes next. the end of queue is determined by an address match with endqp. 0 = wrap to pointer address 0x0 1 = wrap to address in newqp 3:7 endqp ending queue pointer. this field determines the last absolute address in the queue to be com- pleted by the qspi. after completing each command, the qspi compares the queue pointer val- ue of the just-completed command with the value of endqp. if the two values match, the qspi sets spif to indicate it has reached the end of the programmed queue. refer to 14.7.4 qspi operation for more information. 8:10 ? reserved 11:15 newqp new queue pointer value. this field contains the first qspi queue address. refer to 14.7.4 qspi operation for more information. spcr3 ? qspi control register 0x30 501e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved loop q hmie halt spsr* reset: 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-20 14.7.1.5 qspi status register the spsr contains information concerning the current serial transmission. only the qspi can set bits in this register. to clear status flags, the cpu reads spsr with the flags set and then writes the spsr with zeros in the appropriate bits. writes to cptqp have no effect. *see bit descriptions in table 14-17 . table 14-17 spcr3 bit descriptions bit(s) name description 0:4 ? reserved 5 loopq qspi loop mode. loopq controls feedback on the data serializer for testing. 0 = feedback path disabled. 1 = feedback path enabled. 6hmie halta and modf interrupt enable. hmie enables interrupt requests generated by the halta status flag or the modf status flag in spsr. 0 = halta and modf interrupts disabled. 1 = halta and modf interrupts enabled. 7halt halt qspi. when halt is set, the qspi stops on a queue boundary. it remains in a defined state from which it can later be restarted. refer to 14.7.4.1 enabling, disabling, and halting the spi . 0 = qspi operates normally. 1 = qspi is halted for subsequent restart. 8:15 ? spsr. see table 14-18 for bit descriptions. spsr ? qspi status register 0x30 501e msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 spcr3* spif modf hal- ta cptqp 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-21 14.7.2 qspi ram the qspi contains a 160-byte block of dual-ported static ram that can be accessed by both the qspi and the cpu. because of this dual access capability, up to two wait states may be inserted into cpu acce ss time if the qspi is in operation. the size and type of access of the qspi ram by the cpu affects the qspi access time. the qspi allows byte, half-word, and word accesses. only word accesses of the ram by the cpu are coherent because these accesses are an indivisible operation. if the cpu makes a coherent access of t he qspi ram, the qspi cannot access the qspi ram until the cpu is finished. howe ver, a word or misa ligned word access is not coherent because the cpu must break its access of the qspi ram into two parts, which allows the qspi to access the qspi ram between the two accesses by the cpu. the ram is divided into three segments: receive data ram, transmit data ram, and command data ram. receive data is information received from a serial device exter- nal to the mcu. transmit data is information stored for transmission to an external de- vice. command data defines transfer parameters. figure 14-5 shows ram organization. table 14-18 spsr bit descriptions bit(s) name description 0:7 spcr3 see bit descriptions in table 14-17 . 8 spif qspi finished flag. spif is set after execution of the command at the address in endqp in spcr2. if wraparound mode is enabled (wren = 1), the spif is set, after completion of the command defined by endqp, each time the qspi cycles through the queue. 0 = qspi is not finished 1 = qspi is finished 9modf mode fault flag. the qspi asserts modf when the qspi is in master mode (mstr = 1) and the ss input pin is negated by an external driver. refer to 14.7.8 mode fault for more information. 0 = normal operation 1 = another spi node requested to become the network spi master while the qspi was enabled in master mode (ss input taken low). 10 halta halt acknowledge flag. halta is set when the qspi halts in response to setting the halt bit in spcr3. halta is also set when the imb3 freeze signal is asserted, provided the frz1 bit in the qsmcmmcr is set. to prevent undefined operation, the user must not modify any qspi control registers or ram while the qspi is halted. if hmie in spcr3 is set the qspi sends interrupt requests to the cpu when halta is asserted. 0 = qspi is not halted. 1 = qspi is halted 11:15 cptqp completed queue pointer. cptqp points to the last command executed. it is updated when the current command is complete. when the first command in a queue is executing, cptqp contains either the reset value 0x0 or a pointer to the last command completed in the previous queue. if the qspi is halted, cptqp may be used to determine which commands have not been exe- cuted. the cptqp may also be used to determine which locations in the receive data segment of the qspi ram contain valid received data. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-22 figure 14-5 qspi ram 14.7.2.1 receive ram data received by the qspi is stored in this segment, to be read by the cpu. data stored in the receive ram is right-justified,( i.e., the least significant bit is always in the right-most bit position within the word regardless of the serial transfer length). unused bits in a receive queue entry are set to zero by the qspi upon completion of the indi- vidual queue entry. the cpu can access the data using byte, half-word, or word ad- dressing. the cptqp value in spsr shows which queue entries have been executed. the cpu uses this information to determine which locations in receive ram contain valid data before reading them. 14.7.2.2 transmit ram data that is to be transmitted by the qspi is stored in this segment. the cpu normally writes one word of data into this segment for each queue command to be executed. if the corresponding peripheral, such as a serial input port, is used solely to input data, then this segment does not need to be initialized. data must be written to transmit ram in a right-justified format. the qspi cannot mod- ify information in the transmit ram. the qspi copies the information to its data serial- izer for transmission. information rema ins in transmit ram until overwritten. 14.7.2.3 command ram command ram is used by the qspi in master mode. the cpu writes one byte of con- trol information to this segment for each qspi command to be executed. the qspi cannot modify information in command ram. command ram consists of 32 bytes. each byte is divided into two fields. the periph- eral chip-select field, enables peripherals for transfer. the command control field pro- vides transfer options. receive ram transmit ram 0x30 5140 0x30 517f 0x30 5180 0x30 51bf half-word 0x30 51c0 0x30 51df command ram byte half-word rr0 rr1 rr2 rrd rre rrf tr0 tr1 tr2 trd tre trf cr0 cr1 cr2 crd cre crf f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-23 a maximum of 32 commands can be in the queue. these bytes are assigned an ad- dress from 0x00 to 0x1f. queue execution by the qspi proceeds from the address in newqp through the address in endqp. (both of these fields are in spcr2.) cr[0:f] ? command ram 0x30 51c0 ? 0x30 51df refer to 14.7.5 master mode operation for more information on the command ram. 14.7.3 qspi pins seven pins are associated with the qspi. when not needed by the qspi, they can be configured for general-purpose i/o. table 14-20 identifies the qspi pins and their functions. register ddrqs determines whether the pins are designated as input or output. the user must initialize ddrqs for the qspi to function correctly. 7 6 5 4 3 2 1 0 cont bitse dt dsck pcs3 pcs2 pcs1 pcs0 1 notes: 1. the pcs[0] bit represents the dual-function pcs[0]/ ss . ? ? ? ? ? ? ? ? cont bitse dt dsck pcs3 pcs2 pcs1 pcs0 1 command control peripheral chip select table 14-19 command ra m bit descriptions bit(s) name description 0cont continue 0 = control of chip selects returned to portqs after transfer is complete. 1 = peripheral chip selects remain asserted after transfer is complete. 1bitse bits per transfer enable 0 = eight bits 1 = number of bits set in bits field of spcr0. 2dt delay after transfer 0 = delay after transfer is 17 f sys . 1 = spcr1 dtl[7:0] specifies delay after transfer pcs valid to sck. 3dsck pcs to sck delay 0 = pcs valid to sck delay is one-half sck. 1 = spcr1 dsckl[6:0] specifies delay from pcs valid to sck. 4:7 pcs[3:0] peripheral chip selects. use peripheral chip-select bits to select an external device for serial data transfer. more than one peripheral chip select may be activated at a time, and more than one peripheral chip can be connected to each pcs pin, provided proper fanout is observed. pcs[0] shares a pin with the slave select (ss ) signal, which initiates slave mode serial transfer. if ss is taken low when the qspi is in master mode, a mode fault occurs. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-24 14.7.4 qspi operation the qspi uses a dedicated 160-byte block of static ram accessible by both the qspi and the cpu to perform queued operations. the ram is divided into three segments: 32 command control bytes, 64 transmit data bytes, and 64 receive data bytes. once the cpu has set up a queue of qspi commands, written the transmit data seg- ment with information to be sent, and enabled the qspi, the qspi operates indepen- dently of the cpu. the qspi executes all of the commands in its queue, sets a flag indicating completion, and then either interrupts the cpu or waits for cpu intervention. qspi ram is organized so that one byte of command data, one word of transmit data, and one word of receive data correspond to each queue entry, 0x0 to 0x2f. the cpu initiates qspi operation by setting up a queue of qspi commands in com- mand ram, writing transmit data into tr ansmit ram, then enabling the qspi. the qspi executes the queued commands, sets a completion flag (spif), and then either interrupts the cpu or waits for intervention. there are four queue pointers. the cpu can access three of them through fields in qspi registers. the new queue pointer (newqp), contained in spcr2, points to the first command in the queue. an internal queue pointer points to the command currently being executed. the completed queue pointer (cptqp), contained in spsr, points to the last command executed. the end queue pointer (endqp), contained in spcr2, points to the final command in the queue. the internal pointer is initialized to the same value as newqp. during normal opera- tion, the command pointed to by the internal pointer is executed, the value in the inter- nal pointer is copied into cptqp, the internal pointer is incremented, and then the sequence repeats. execution continues at the internal pointer address unless the table 14-20 qs pi pin functions pin names mnemonic mode function master in slave out miso master slave serial data input to qspi serial data output from qspi master out slave in mosi master slave serial data output from qspi serial data input to qspi serial clock sck 1 notes: 1. all qspi pins (except sck) can be used as general-purpose i/o if they are not used by the qspi while the qspi is operating. sck can only be used for general-purpose i/o if the qspi is disabled. master slave clock output from qspi clock input to qspi peripheral chip selects pcs[1:3] master outputs select peripheral(s) peripheral chip select 2 slave select 3 2. an output (pcs[0]) when the qspi is in master mode. 3. an input (ss ) when the qspi is in slave mode. pcs[0]/ ss master slave output selects peripheral(s) input selects the qspi slave select 4 4. an input (ss ) when the qspi is in master mode; useful in multimaster systems. ss master may cause mode fault f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-25 newqp value is changed. after each command is executed, endqp and cptqp are compared. when a match occurs, the spif flag is set and the qspi stops and clears spe, unless wraparound mode is enabled. at reset, newqp is initialized to 0x0. when the qspi is enabled, execution begins at queue address 0x0 unless another value has be en written into newqp. endqp is ini- tialized to 0x0 at reset but should be changed to the last queue entry before the qspi is enabled. newqp and endq p can be written at any time. when newqp changes, the internal pointer value also changes. ho wever, if newqp is written while a transfer is in progress, the transfer is comple ted normally. leaving newqp and endqp set to 0x0 transfers only the data in transmit ram location 0x0. 14.7.4.1 enabling, disabling, and halting the spi the spe bit in the spcr1 enables or disables the qspi submodule. setting spe causes the qspi to begin operation. if the qspi is a master, setting spe causes the qspi to begin initiating serial transfers. if the qspi is a slave, the qspi begins moni- toring the pcs[0]/ss pin to respond to the external initialization of a serial transfer. when the qspi is disabled, the cpu may use the qspi ram. when the qspi is en- abled, both the qspi and the cpu have access to the qspi ram. the cpu has both read and write access to all 160 bytes of the qspi ram. the qspi can read-only the transmit data segment and the command control segment and can write-only the re- ceive data segment of the qspi ram. the qspi turns itself off automatically when it is finished by clearing spe. an error condition called mode fault (modf) also clears spe. this error occurs when pcs[0]/ ss is configured for input, the qspi is a system master (mstr = 1), and pcs[0]/ss is driven low externally. setting the halt bit in spcr3 stops the qspi on a queue boundary. the qspi halts in a known state from which it can later be restarted. when halt is set, the qspi fin- ishes executing the current serial transfer (up to 16 bits) and then halts. while halted, if the command control bit (cont of the qs pi ram) for the last command was assert- ed, the qspi continues driving the peripheral chip select pins with the value designat- ed by the last command before the halt. if cont was cleared, the qspi drives the peripheral chip-select pins to the value in register portqs. if halt is set during the last command in the queue, the qspi completes the last com- mand, sets both halta and spif, and clears spe. if the last queue command has not been executed, asserting halt does not set spif or clear spe. qspi execution continues when the cpu clears halt. to stop the qspi, assert the halt bit in spcr3, then wait until the halta bit in spsr is set. spe can then be safely cleared, providing an orderly method of shutting down the qspi quickly after the current serial tr ansfer is completed. the cpu can disable the qspi immediately by clearing spe. however, loss of data from a current serial transfer may result and confuse an external spi device. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-26 14.7.4.2 qspi interrupts the qspi has three possible interrupt sources but only one interrupt vector. these sources are spif, modf, and halta. when the cpu responds to a qspi interrupt, the user must ascertain the interrupt cause by reading the spsr. any interrupt that was set may then be cleared by writing to spsr with a zero in the bit position corre- sponding to the interrupt source. the spifie bit in spcr2 enables the qspi to generate an interrupt request upon as- sertion of the spif status flag. because it is buffered, the value written to spifie ap- plies only upon completion of the queue (the transfer of the entry indicated by endpq). thus, if a single sequence of queue entries is to be transferred (i.e., no wrap), then spifie should be set to the desired state before the first transfer. if a sub-queue is to be used, the same cpu write that causes a branch to the sub- queue may enable or disable the spif interrupt for the sub-queue. the primary queue retains its own selected interrupt mode, either enabled or disabled. the spif interrupt must be cleared by clearing spif. subsequent interrupts may then be prevented by clearing spifie. clearing spifie does not immediately clear an in- terrupt already caused by spif. 14.7.4.3 qspi flow the qspi operates in either master or slave mode. master mode is used when the mcu initiates data transfers. slave mode is used when an external device initiates transfers. switching between these modes is controlled by mstr in spcr0. before entering either mode, appropriate qsmcm and qspi registers must be initialized properly. in master mode, the qspi executes a queue of commands defined by control bits in each command ram queue entry. chip-select pins are activated, data is transmitted from the transmit ram and received by the receive ram. in slave mode, operation proceeds in response to ss pin assertion by an external spi bus master. operation is similar to master mode, but no peripheral chip selects are generated, and the number of bits transferred is controlled in a different manner. when the qspi is selected, it automatically executes the next queue transfer to exchange data with the external device correctly. although the qspi inherently supports multi-master operation, no special arbitration mechanism is provided. a mode fault flag (modf) indicates a request for spi master arbitration. system software must provide arbitration. note that unlike previous spi systems, mstr is not cleared by a mode fa ult being set nor are the qspi pin output drivers disabled. the qspi and associated output drivers must be disabled by clearing spe in spcr1. figure 14-6 shows qspi initialization. figure 14-7 through figure 14-11 show qspi master and slave operation. the cpu must initialize the qsmcm global and pin reg- isters and the qspi control registers before enabling the qspi for either mode of op- eration. the command queue must be written before the qspi is enabled for master f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-27 mode operation. any data to be transmitted should be written into transmit ram before the qspi is enabled. during wraparound operation, data for subsequent transmissions can be written at any time. figure 14-6 flowchart of qspi initialization operation initialize qsmcm global registers initialize qspi control registers initialize pqspar, portqs, and ddrqs initialize qspi ram enable qspi begin a2 qspi initialization mstr = 1 ? a1 y n in this order f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-28 figure 14-7 flowchart of qspi master operation (part 1) read command control and transmit data from ram using queue pointer address a1 working queue pointer changed to newqp is qspi disabled? n y n execute serial transfer store received data in ram using queue pointer address b1 qspi cycle begins (master mode) y assert peripheral chip select(s) is pcs to sck delay programmed? n execute standard delay y execute programmed delay has newqp been written? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-29 figure 14-8 flowchart of qspi master operation (part 2) is delay after transfer asserted? y n execute programmed delay b1 write queue pointer to cptqp status bits c1 negate peripheral chip selects y n is continue bit asserted? execute standard delay f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-30 figure 14-9 flowchart of qspi master operation (part 3) assert spif status flag request interrupt is interrupt enable bit spifie set? is wrap enable bit set? y n reset working queue pointer to newqp or 0x0000 y disable qspi a1 n increment working queue pointer n is halt or freeze asserted? a1 halt qspi and set halta n is interrupt enable bit hmie set? y y n is halt or freeze asserted? c1 y n y is this the last command in the queue? request interrupt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-31 figure 14-10 flowchart of qspi slave operation (part 1) read transmit data from ram using queue pointer address a2 queue pointer changed to newqp n y n write queue pointer to cptqp status bits store received data in ram using queue pointer address b2 qspi cycle bgins (slave mode y execute serial transfer when sck received n y is slave select pin asserted? has newqp been written? is qspi disabled? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-32 figure 14-11 flowchart of qspi slave operation (part 2) normally, the spi bus performs synchronous bi-directional transfers. the serial clock on the spi bus master supplies the clock signal sck to time the transfer of data. four set spif status flag request interrupt is interrupt enable bit spifie set? is wrap enable bit asserted? y n reset working queue pointer to newqp or 0x0000 y disable qspi a2 n increment working queue pointer n is halt or freeze asserted? a2 halt qspi and set halta n is interrupt enable bit hmie set? y y n is halt or freeze asserted? c2 y n y is this the last command in the queue? qspi slv2 flow6 request interrupt f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-33 possible combinations of clock phase and polarity can be specified by the cpha and cpol bits in spcr0. data is transferred with the most significant bit first. the number of bits transferred per command defaults to eight, but can be set to any value from eight to sixteen bits by writing a value into the bits field in spcr0 and setting bitse in command ram. typically, spi bus outputs are not open drain unless multiple spi masters are in the system. if needed, the womq bit in spcr0 can be set to provide wired-or, open drain outputs. an external pull-up resistor should be used on each output line. womq affects all qspi pins regardless of whether they are assigned to the qspi or used as general-purpose i/o. 14.7.5 master mode operation setting the mstr bit in spcr0 selects master mode operation. in master mode, the qspi can initiate serial transfers, but cannot respond to externally initiated transfers. when the slave select input of a device configured for master mode is asserted, a mode fault occurs. before qspi operation begins, pqspar must be written to assign the necessary pins to the qspi. the pins necessary for master mode operation are miso, mosi, sck, and one or more of the chip-select pins. miso is used for serial data input in master mode, and mosi is used for serial data output. either or both may be necessary, de- pending on the particular application. sck is the serial clock output in master mode and must be assigned to the qspi for proper operation. the portqs data register must next be written with values that make the qgpio[6]/ sck (bit 13 qdsck of portqs) and qgpio[3 :0]/pcs[3:0] (bits 12:9 qdpcs[3:0] of portqs) outputs inactive when the qspi completes a series of transfers. pins allo- cated to the qspi by pqspar are controlled by portqs when the qspi is inactive. portqs i/o pins driven to states opposite those of the inactive qspi signals can gen- erate glitches that momentarily enable or partially clock a slave device. for example, if a slave device operates with an inactive sck state of logic one (cpol = 1) and uses active low peripheral chip-select pcs[0], the qdsck and qdpcs0 bits in portqs must be set to 0b11. if qdsck and qdpcs0 = 0b00, falling edges will appear on qgpio[6]/sck and gpio[0]/pcs[0] as the qspi relinquishes control of these pins and portqs drives them to logic zero from the inactive sck and pcs[0] states of logic one. before master mode operation is initiate d, qsmcm register ddrqs is written last to direct the data flow on the qspi pins used. configure the sck, mosi and appropriate chip-select pins pcs[3:0] as outputs. the miso pin must be configured as an input. after pins are assigned and configured, write appropriate data to the command queue. if data is to be transmitted, write the data to transmit ram. initialize the queue pointers as appropriate. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-34 qspi operation is initiated by setting the spe bit in spcr1. shortly after spe is set, the qspi executes the command at the command ram address pointed to by newqp. data at the pointer address in transmit ram is loaded into the data serializer and transmitted. data that is simultaneously received is stored at the pointer address in receive ram. when the proper number of bits have been transferred, the qspi stores the working queue pointer value in cptqp, increments the working queue pointer, and loads the next data for transfer from transmit ram. the command pointed to by the incremented working queue pointer is executed next, unless a new value has been written to newqp. if a new queue pointer value is written while a transfer is in progress, that transfer is completed normally. when the cont bit in a command ram byte is set, pcs pins are continuously driven to specified states during and between transfers. if the chip-select pattern changes during or between transfers, the original pattern is driven until execution of the follow- ing transfer begins. when cont is cleared, the data in register portqs is driven be- tween transfers. the data in portqs must match the inactive states of sck and any peripheral chip-selects used. when the qspi reaches the end of the queue, it sets the spif flag. if the spifie bit in spcr2 is set, an interrupt request is generated when spif is asserted. at this point, the qspi clears spe and stops unless wraparound mode is enabled. 14.7.5.1 clock phase and polarity in master mode, data transfer is synchronized with the internally-generated serial clock sck. control bits, cpha and cpol, in spcr0, control clock phase and polarity. combinations of cpha and cpol determine upon which sck edge to drive outgoing data from the mosi pin and to latch incoming data from the miso pin. 14.7.5.2 baud rate selection baud rate is selected by writing a value from two to 255 into the spbr field in spcr0. the qspi uses a modulus counter to derive the sck baud rate from the mcu imb clock. the following expressions apply to the sck baud rate: or sck baud rate f sys 2 spbr ------------------------- - = spbr f sys 2 sck baud rate desired ------------------------------------------------------------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-35 giving spbr a value of zero or one disables the baud rate generator. sck is disabled and assumes its inactive state. at reset, the sck baud rate is initialized to one eighth of the imb clock frequency. table 14-21 provides some example sck baud rates with a 40-mhz imb clock. 14.7.5.3 delay before transfer the dsck bit in each command ram byte inserts either a standard (dsck = 0) or user-specified (dsck = 1) delay from chip-select assertion until the leading edge of the serial clock. the dsckl field in spcr1 determines the length of the user-defined delay before the assertion of sck. the following expression determines the actual de- lay before sck: where dsckl is in the range from 1 to 127. note a zero value for dsckl causes a delay of 128 imb clocks, which equals 3.2 s for a 40-mhz imb clock. because of design limits, a dsckl value of one defaults to the same timing as a value of two. when dsck equals zero, dsckl is not used. instead, the pcs valid-to-sck transi- tion is one-half the sck period. 14.7.5.4 delay after transfer delay after transfer can be used to provide a peripheral deselect interval. a delay can also be inserted between consecutive transfers to allow serial a/d converters to com- plete conversion. writing a value to the dtl field in spcr1 specifies a delay period. the dt bit in each command ram byte determines whether the standard delay period table 14-21 example sck freque ncies with a 40-mhz imb clock division ratio spbr value sck frequency 4 2 10.00 mhz 636.67 mhz 845.00 mhz 14 7 2.86 mhz 28 14 1.43 mhz 58 29 689 khz 280 140 143 khz 510 255 78.43 khz pcs to sck delay dsckl f sys ------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-36 (dt = 0) or the specified delay period (dt = 1) is used. the following expression is used to calculate the delay: where dtl is in the range from one to 255. a zero value for dtl causes a delay-after-transfer value of 8192 imb clock frequen- cy (204.8 s with a 40-mhz imb clock). if dt is zero in a command ram byte, a standard delay is inserted. delay after transfer can be used to provide a peripheral deselect interval. a delay can also be inserted between consecutive transfers to allow serial a/d converters to com- plete conversion. adequate delay between transfers must be specified for long data streams because the qspi requires time to load a transmit ram entry for transfer. receiving devices need at least the standard delay between successive transfers. if the imb clock is op- erating at a slower rate, the delay between transfers must be increased proportionate- ly. 14.7.5.5 transfer length there are two transfer length options. the user can choose a default value of eight bits, or a programmed value from eight (0b1000) to 16 (0b0000) bits, inclusive. re- served values (from 0b0001 to 0b0111) default to eight bits. the programmed value must be written into the bits field in spcr0. the bitse bit in each command ram byte determines whether the default value (bitse = 0) or the bits value (bitse = 1) is used. 14.7.5.6 peripheral chip selects peripheral chip-select signals are used to select an external device for serial data transfer. chip-select signals are asserted when a command in the queue is executed. signals are asserted at a logic level corresponding to the value of the pcs[3:0] bits in each command byte. more than one chip-select signal can be asserted at a time, and more than one external device can be connected to each pcs pin, provided proper fanout is observed. pcs[0] shares a pin with the slave select ss signal, which initiates slave mode serial transfer. if ss is taken low when the qspi is in master mode, a mode fault occurs. delay after transfer 32 dtl f sys ----------------------- - = standard delay after transfer 17 f sys ------------- = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-37 to configure a peripheral chip select, set the appropriate bit in pqspar, then config- ure the chip-select pin as an output by setting the appropriate bit in ddrqs. the value of the bit in portqs that corresponds to the chip-select pin determines the base state of the chip-select signal. if the base state is zero, chip-select assertion must be active high (pcs bit in command ram must be set); if base state is one, assertion must be active low (pcs bit in command ram must be cleared). portqs bits are cleared dur- ing reset. if no new data is written to portqs before pin assignment and configura- tion as an output, the base state of chip-select signals is zero and chip-select pins are configured for active-high operation. 14.7.5.7 master wraparound mode wraparound mode is enabled by setting the wren bit in spcr2. the queue can wrap to pointer address 0x0 or to the address pointed to by newqp, depending on the state of the wrto bit in spcr2. in wraparound mode, the qspi cycles through the queue continuously, even while the qspi is requesting interrupt service. spe is not cleared when the last command in the queue is executed. new receive data overwrit es previously received data in receive ram. each time the end of the queue is reached, the spif flag is set. spif is not au- tomatically reset. if interrupt-driven qspi service is used, the service routine must clear the spif bit to end the current interrupt request. additional interrupt requests dur- ing servicing can be prevented by clearing spifie, but spifie is buffered. clearing it does not end the current request. wraparound mode is exited by clearing the wren bit or by setting the halt bit in spcr3. exiting wraparound mode by clearing spe is not recommended, as clearing spe may abort a serial transfer in progre ss. the qspi sets spif, clears spe, and stops the first time it reaches the end of the queue after wren is cleared. after halt is set, the qspi finishes the current tran sfer, then stops executing commands. after the qspi stops, spe can be cleared. 14.7.6 slave mode clearing the mstr bit in spcr0 selects slave mode operation. in slave mode, the qspi is unable to initiate serial transfers. transfers are initiated by an external spi bus master. slave mode is typically used on a multi-master spi bus. only one device can be bus master (operate in master mode) at any given time. before qspi operation is initiated, qsmcm register pqspar must be written to as- sign necessary pins to the qspi. the pins necessary for slave mode operation are mi- so, mosi, sck, and pcs[0]/ss . miso is used for serial data output in slave mode, and mosi is used for serial data input. either or both may be necessary, depending on the particular application. sck is the serial clock input in slave mode and must be assigned to the qspi for proper operation. assertion of the active-low slave select sig- nal ss initiates slave mode operation. before slave mode operation is initiated, ddrqs must be written to direct data flow on the qspi pins used. configure the mosi, sck and pcs[0]/ss pins as inputs. the miso pin must be configured as an output. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-38 after pins are assigned and configured, write data to be transmitted into transmit ram. command ram is not used in slave mode, and does not need to be initialized. set the queue pointers, as appropriate. when spe is set and mstr is clear, a low state on the slave select pcs[0]/ss pin begins slave mode operation at the address indicated by newqp. data that is re- ceived is stored at the pointer address in receive ram. data is simultaneously loaded into the data serializer from the pointer address in transmit ram and transmitted. transfer is synchronized with the externally generated sck. the cpha and cpol bits determine upon which sck edge to latch incoming data from the miso pin and to drive outgoing data from the mosi pin. because the command ram is not used in slave mode, the cont, bitse, dt, dsck, and peripheral chip-select bits have no effect. the pcs[0]/ss pin is used only as an input. the spbr, dt and dsckl fields in spcr0 and spcr1 bits are not used in slave mode. the qspi drives neither the clock nor the chip-select pins and thus cannot con- trol clock rate or transfer delay. because the bitse option is not available in slave mode, the bits field in spcr0 specifies the number of bits to be transferred for all transfers in the queue. when the number of bits designated by bits[3:0] has been transferred, the qspi stores the working queue pointer value in cptqp, increments the working queue pointer, and loads new transmit data from transmit ram into the data serializer. the working queue pointer address is used the next time pcs[0]/ss is asserted, unless the rcpu writes to newqp first. the qspi shifts one bit for each pulse of sck until the slave select input goes high. if ss goes high before the number of bits specified by the bits field is transferred, the qspi resumes operation at the same pointer address the next time ss is asserted. the maximum value that the bits field can have is 16. if more than 16 bits are trans- mitted before ss is negated, pointers are incremented and operation continues. the qspi transmits as many bits as it receives at each queue address, until the bits value is reached or ss is negated. ss does not need to go high between transfers as the qspi transfers data until reaching the end of the queue, whether ss remains low or is toggled between transfers. when the qspi reaches the end of the queue, it sets the spif flag. if the spifie bit in spcr2 is set, an interrupt request is generated when spif is asserted. at this point, the qspi clears spe and stops unless wraparound mode is enabled. slave wraparound mode is enabled by setting the wren bit in spcr2. the queue can wrap to pointer address 0x0 or to the address pointed to by newqp, depending on the state of the wrto bit in spcr2. slave wraparound operation is identical to master wraparound operation. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-39 14.7.6.1 description of slave operation after reset, the qsmcm registers and the qspi control registers must be initialized as described above. although the command control segment is not used, the transmit and receive data segments may, depending upon the application, need to be initial- ized. if meaningful data is to be sent out from the qspi, the user should write the data to the transmit data segment before enabling the qspi. if spe is set and mstr is not set, a low state on the slave select (pcs[0]/ss ) pin com- mences slave mode operation at the address indicated by newqp. the qspi trans- mits the data found in the transmit data segment at the address indicated by newqp, and the qspi stores received data in the receive data segment at the ad-dress indi- cated by newqp. data is transferred in response to an external slave clock input at the sck pin. because the command control segment is not used, the command control bits and pe- ripheral chip-select codes have no effect in slave mode operation. the qspi does not drive any of the four peripheral chip-selects as outputs. pcs[0]/ss is used as an input. although cont cannot be used in slave mode, a provision is made to enable receipt of more than 16 data bits. while keeping the qspi selected (pcs[0]/ss is held low), the qspi stores the number of bits, designated by bits, in the current receive data segment address, increments newqp, and continues storing the remaining bits (up to the bits value) in the next receive data segment address. as long as pcs[0]/ss remains low, the qspi contin ues to store the incoming bit stream in sequential receive data segment addresses, until either the value in bits is reached or the end-of-queue address is used with wraparound mode disabled. when the end of the queue is reached, the spif flag is asserted, optionally causing an interrupt. if wraparound mode is disabled, any additional incoming bits are ignored. if wraparound mode is enabled, storing continues at either address 0x0 or the address of newqp, depending on the wrto value. when using this capability to receive a long incoming data stream, the proper delay between transfers must be used. the qspi requires time, approximately 0.425 s with a 40-mhz imb clock, to prefetch the next transmit ram entry for the next transfer. therefore, the user may select a baud rate that provides at least a 0.6-s delay between successive transfers to ensure no loss of incoming data. if the imb clock is operating at a slower rate, the delay between transfers must be increased proportionately. because the bitse option in the command control segment is no longer available, bits sets the number of bits to be transferred for all transfers in the queue until the cpu changes the bits value. as mentioned above, until pcs[0]/ss is negated (brought high), the qspi continues to shift one bit for each pulse of sck. if pcs[0]/ss is negated before the proper number of bits (according to bits) is received, the next time the qspi is selected it resumes storin g bits in the same receive-data segment ad- dress where it left off. if more than 16 bits are transferred before negating the pcs[0]/ ss , the qspi stores the number of bits indicated by bits in the current receive data segment address, then increments the address and continues storing as described f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-40 above. note that pcs[0]/ss does not necessarily have to be negated between trans- fers. once the proper number of bits (designated by bits) are transferred, the qspi stores the received data in the receive data segment, stores the internal working queue point- er value in cptqp, increments the internal working queue pointer, and loads the new transmit data from the transmit data segment into the data serializer. the internal working queue pointer address is used the next time pcs[0]/ss is asserted, unless the cpu writes to the newqp first. the dt and dsck command control bits are not used in slave mode. as a slave, the qspi does not drive the clock line nor the chip-select lines and, therefore, does not generate a delay. in slave mode, the qspi shifts out the data in the transmit data segment. the trans- mit data is loaded into the data serializer (refer to figure 14-1 ) for transmission. when the pcs[0]/ss pin is pulled low the miso pin becomes active and the serializer then shifts the 16 bits of data out in sequence, most significant bit first, as clocked by the incoming sck signal. the qspi uses cpha and cpol to determine which incoming sck edge the mosi pin uses to latch incoming data, and which edge the miso pin uses to drive the data out. the qspi transmits and receives data until reaching the end of the queue (defined as a match with the address in endqp), regardless of whether pcs[0]/ss remains se- lected or is toggled between serial transfers. receiving the proper number of bits caus- es the received data to be stored. the qspi always transmits as many bits as it receives at each queue address, until the bits value is reached or pcs[0]/ss is ne- gated. 14.7.7 slave wraparound mode when the qspi reaches the end of the queue, it always sets the spif flag, whether wraparound mode is enabled or disabled. an optional interrupt to the cpu is gen-er- ated when spif is asserted. at this point, the qspi clears spe and stops unless wrap- around mode is enabled. a description of spifie bit can be found in 4.3.3 qspi control register 2 (spcr2). in wraparound mode, the qspi cycles throug h the queue continuously. each time the end of the queue is reached, the spif flag is set. if the cpu fails to clear spif, it re- mains set, and the qspi continues to send interrupt requests to the cpu (assuming spifie is set). the user may avoid causing cpu interrupts by clearing spifie. as spifie is buffered, clearing it after the spif flag is asserted does not immediately stop the cpu interrupts, but only prevents fu ture interrupts from th is source. to clear the current interrupt, the cpu must read qspi register spsr with spif asserted, fol- lowed by a write to spsr with zero in spif (clear spif). execution continues in wrap- around mode even while the qspi is requesting interrupt service from the cpu. the internal working queue pointer is incremented to the next address and the commands are executed again. spe is not cleared by the qspi. new receive data overwrites pre- viously received data located in the receive data segment. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-41 wraparound mode is properly exited in two ways: a) the cpu may disable wrap- around mode by clearing wren. the next time end of the queue is reached, the qspi sets spif, clears spe, and stops; and, b) the cpu sets halt. this second method halts the qspi after the current transfer is completed, allowing the cpu to negate spe. the cpu can immediately stop the qspi by clearing spe; however, this method is not recommended, as it causes the qspi to abort a serial transfer in process. 14.7.8 mode fault modf is asserted by the qspi when the qspi is the serial master (mstr = 1) and the slave select (pcs[0]/ss ) input pin is pulled low by an external driver. this is pos- sible only if the pcs[0]/ss pin is configured as input by qddr. this low input to ss is not a normal operating condition. it indicates that a multimaster system conflict may exist, that another mcu is requesting to become the spi network master, or simply that the hardware is inco rrectly affecting pcs[0]/ss . spe in spcr1 is cleared, dis- abling the qspi. the qspi pins revert to control by qpdr. if modf is set and hmie in spcr3 is asserted, the qspi generates an interrupt to the cpu. the cpu may clear modf by reading spsr with modf asserted, followed by writing spsr with a zero in modf. after correcting the mode fault problem, the qspi can be re-enabled by asserting spe. the pcs[0]/ss pin may be configured as a general-purpose output instead of input to the qspi. this inhibits the mode fault checking function. in this case, modf is not used by the qspi. 14.8 serial communication interface the dual, independent, serial communication interface (dsci) communicates with ex- ternal devices through an asynchronous serial bus. the two sci modules are function- ally equivalent, except that the sci1 also provides 16-deep queue capabilities for the transmit and receive operations. the scis are fully compatible with other motorola sci systems. the dsci has all of the capabilities of previous sci system s as well as sev- eral significant new features. figure 14-12 is a block diagram of the sci transmitter. figure 14-13 is a block dia- gram of the sci receiver. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-42 figure 14-12 sci transmitter block diagram loops woms ilt pt pe m wake tie tcie rie ilie te re rwu sbk transmitter control logic pin buffer and control h(8)76543210l 10 (11)-bit tx shift register txd scxdr tx buffer transfer tx buffer shift enable jam enable preamble?jam 1's break?jam 0's force pin direction (out) size 8/9 parity generator transmitter baud rate clock tc tdre sci rx requests sci interrupt request fe nf or idle rdrf tc tdre scxsr status register pf internal data bus raf tie tcie sccxr1 control register 1 0 15 15 0 start stop open drain output mode enable (write-only) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-43 figure 14-13 sci receiver block diagram 0 loops woms ilt pt pe m wake rie ilie te re rwu sbk tie tcie sccxr1 control register 1 0 15 fe nf or idle rdrf tc tdre scxsr status register pf raf 15 0 wake-up logic pin buffer rxd stop (8)76543210 10 (11)-bit rx shift register start msb all ones data recovery 16 parity detect receiver baud rate clock scxdr rx buffer (read-only) sci tx requests sci interrupt request internal data bus l h f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-44 14.8.1 sci registers the sci programming model includes the qsmcm global and pin control registers and the dsci registers. the dsci registers, listed in table 14-22 , consist of five control registers, three status registers, and 34 data registers. all registers may be read or written at any time by the cpu. rewriting the same value to any dsci register does not disrupt operation; how- ever, writing a different value into a dsci register when the dsci is running may dis- rupt operation. to change register values, the receiver and transmitter should be disabled with the transmitter allowed to fini sh first. the status flags in register scxsr can be cleared at any time. *reads access the rdrx; writes access the tdrx. during scix initialization, two bits in the sc cxr1 should be written last: the transmitter enable (te) and receiver enable (re) bits, which enable scix. registers sccxr0 and sccxr1 should both be initialized at the same time or before te and re are asserted. a single half-word write to sccxr1 can be used to initialize scix and enable the trans- mitter and receiver. table 14-22 sci registers address name usage 0x30 5008 scc1r0 sci1 control register 0 see table 14-23 for bit descriptions. 0x30 500a scc1r1 sci1 control register 1 see table 14-24 for bit descriptions. 0x30 500c sc1sr sci1 status register see table 14-25 for bit descriptions. 0x30 500e (non-queue mode only) sc1dr sci1 data register transmit data register (tdr1)* receive data register (rdr1)* see table 14-26 for bit descriptions. 0x30 5020 scc2r0 sci2 control register 0 0x30 5022 scc2r1 sci2 control register 1 0x30 5024 sc2sr sci2 status register 0x30 5026 sc2dr sci2 data register transmit data register (tdr2)* receive data register (rdr2)* 0x30 5028 qsci1cr qsci1 control register interrupts, wrap, queue size and enables for receive and transmit, qtpnt. see table 14-30 for bit descriptions. 0x30 502a qsci1sr qsci1 status register overrun error flag, queue status flags, qrpnt, and qpend. see table 14-31 for bit descriptions. 0x30 502c ? 0x30 504a qsci1 transmit queue memory area qsci1 transmit queue data locations (on half-word boundary) 0x30 504c-6a qsci1 receive queue memory area qsci1 receive queue data locations (on half-word boundary) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-45 14.8.2 sci control register 0 sccxr0 contains the scix baud rate selection field and two bits controlling the clock source. the baud rate must be set before the sci is enabled. the cpu can read and write sccxr0 at any time. changing the value of sccxr0 bits during a transfer operation can disrupt the trans- fer. before changing register values, allo w the sci to complete the current transfer, then disable the receiver and transmitter. 14.8.3 sci control register 1 sccxr1 contains scix configuration parameters, including transmitter and receiver enable bits, interrupt enable bits, and operating mode enable bits. the cpu can read or write this register at any time. the sci can modify the rwu bit under certain cir- cumstances. changing the value of sccxr1 bits during a transfer operation can disrupt the trans- fer. before changing register values, allo w the sci to complete the current transfer, then disable the receiver and transmitter. sccxr0 ? sci control register 0 0x30 5008 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 othr lnk- bd 0 scxbr reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 table 14-23 sccxr0 bit descriptions bit(s) name description 0 othr this bit is reserved and should always be programmed to 0. 1 lnkbd this bit is reserved and should always be programmed to 0. 2? reserved 3:15 scxbr sci baud rate. the sci baud rate is programmed by writing a 13-bit value to this field. writing a value of zero to scxbr disables the baud rate generator. baud clock rate is calculated as fol- lows: where scxbr is in the range of 1 to 8191. refer to 14.8.7.3 baud clock for more information. sci baud rate f sys 32 scxbr --------------------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-46 sccxr1 ? sci control register 1 0x30 500a, 0x30 5022 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 0 loops wom s ilt pt pe m wake tie tcie rie ilie te re rwu sbk reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 14-24 sccxr1 bit descriptions bit(s) name description 0?reserved 1loops loop mode 0 = normal sci operation, no looping, feedback path disabled. 1 = sci test operation, looping, feedback path enabled. 2woms wired-or mode for sci pins 0 = if configured as an output, txd is a normal cmos output. 1 = if configured as an output, txd is an open drain output. 3ilt idle-line detect type. refer to 14.8.7.8 idle-line detection . 0 = short idle-line detect (start count on first one). 1 = long idle-line detect (start count on first one after stop bit(s)). 4pt parity type. refer to 14.8.7.4 parity checking . 0 = even parity. 1 = odd parity. 5pe parity enable. refer to 14.8.7.4 parity checking . 0 = sci parity disabled. 1 = sci parity enabled. 6m mode select. refer to 14.8.7.2 serial formats . 0 = 10-bit sci frame. 1 = 11-bit sci frame. 7 wake wakeup by address mark. refer to 14.8.7.9 receiver wake-up . 0 = sci receiver awakened by idle-line detection. 1 = sci receiver awakened by address mark (last bit set). 8tie transmit interrupt enable 0 = sci tdre interrupts disabled. 1 = sci tdre interrupts enabled. 9tcie transmit complete interrupt enable 0 = sci tc interrupts disabled. 1 = sci tc interrupts enabled. 10 rie receiver interrupt enable 0 = sci rdrf and or interrupts disabled. 1 = sci rdrf and or interrupts enabled. 11 ilie idle-line interrupt enable 0 = sci idle interrupts disabled. 1 = sci idle interrupts enabled. 12 te transmitter enable 0 = sci transmitter disabled (txd pin can be used as general-purpose output) 1 = sci transmitter enabled (txd pin dedicated to sci transmitter). 13 re receiver enable 0 = sci receiver disabled (rxd pin can be used as general-purpose input). 1 = sci receiver enabled (rxd pin is dedicated to sci receiver). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-47 14.8.4 sci status register (scxsr) scxsr contains flags that show sci operating conditions. these flags are cleared ei- ther by scix hardware or by a read/write sequence. the sequence consists of reading the scxsr (either the upper byte, lower byte, or the entire half-word) with a flag bit set, then reading (or writing, in the case of flags tdre and tc) the scxdr (either the low- er byte or the half-word). the contents of the two 16-bit registers scxsr and scxdr appear as upper and low- er half-words, respectively, when the scxsr is read into a 32-bit register. an upper byte access of scxsr is meaningful only fo r reads. note that a word read can simul- taneously access both registers scxsr and scxdr. this action clears the receive status flag bits that were set at the time of the read, but does not clear the tdre or tc flags. to clear tc, the scxsr read must be followed by a write to register scxdr (either the lower byte or the half-word). the tdre flag in the status register is read- only. if an internal sci signal for setting a status bit comes after the cpu has read the as- serted status bits but before the cpu has read or written the scxdr, the newly set status bit is not cleared. instead, scxsr must be read again with the bit set and scxdr must be read or written before the status bit is cleared. note none of the status bits are cleared by reading a status bit while it is set and then writing zero to that same bit. instead, the procedure out- lined above must be followed. note further that reading either byte of scxsr causes all 16 bits to be accessed, and any status bits already set in either byte are armed to clear on a subsequent read or write of scxdr. 14 rwu receiver wakeup. refer to 14.8.7.9 receiver wake-up . 0 = normal receiver operation (received data recognized). 1 = wakeup mode enabled (received data ignored until receiver is awakened). 15 sbk send break 0 = normal operation. 1 = break frame(s) transmitted after completion of current frame. scxsr ? scix status register 0x30 500c, 0x30 5024 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved tdre tc rdrf raf idle or nf fe pf reset: 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 table 14-24 sccxr1 bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-48 table 14-25 scxsr bit descriptions bit(s) name description 0:6 ? reserved 7 tdre transmit data register empty. tdre is set when the byte in tdrx is transferred to the transmit serial shifter. if this bit is zero, the transfer is yet to occur and a write to tdrx will overwrite the previous value. new data is not transmitted if tdrx is written without first clearing tdre. 0 = transmit data register still contains data to be sent to the transmit serial shifter. 1 = a new character can now be written to the transmit data register. for transmit queue operation, this bit should be ignored by software. 8tc transmit complete. tc is set when the transmitter finishes shifting out all data, queued pream- bles (mark/idle-line), or queued breaks (logic zero). 0 = sci transmitter is busy. 1 = sci transmitter is idle. for transmit queue operation, tc is cleared when scxsr is read with tc set, followed by a write to sctq[0:15]. 9 rdrf receive data register full. rdrf is set when the contents of the receive serial shifter are trans- ferred to register rdrx. if one or more errors are detected in the received word, the appropriate flag(s) (nf, fe, or pf) are set within the same clock cycle. 0 = receive data register is empty or contains previously read data. 1 = receive data register contains new data. for receiver queue operation, this bit should be ignored by software. 10 raf receiver active flag. raf indicates whether the receiver is busy. this flag is set when the receiv- er detects a possible start bit and is cleared when the chosen type of idle line is detected. raf can be used to reduce collisions in systems with multiple masters. 0 = sci receiver is idle. 1 = sci receiver is busy. 11 idle idle line detected. idle is set when the receiver detects an idle-line condition (reception of a min- imum of 10 or 11 consecutive ones as specified by ilt in sccxr1). this bit is not set by the idle- line condition when rwu in sccxr1 is set. once cleared, idle is not set again until after rdrf is set (after the line is active and becomes idle again). if a break is received, rdrf is set, allow- ing a subsequent idle line to be detected again. under certain conditions, the idle flag may be set immediately following the negation of re in sccxr1. system designs should ensure this causes no detrimental effects. 0 = sci receiver did not detect an idle-line condition. 1 = sci receiver detected an idle-line condition. for receiver queue operation, idle is cleared when scxsr is read with idle set, followed by a read of scrq[0:15]. 12 or overrun error. or is set when a new byte is ready to be transferred from the receive serial shifter to register rdrx, and rdrx is already full (rdrf is still set). data transfer is inhibited until or is cleared. previous data in rdrx remains valid, but additional data received during an overrun condition (including the byte that set or) is lost. note that whereas the other receiver status flags (nf, fe, and pf) reflect the status of data al- ready transferred to rdrx, the or flag reflects an operational condition that resulted in a loss of data to rdrx. 0 = rdrf is cleared before new data arrives. 1 = rdrf is not cleared before new data arrives. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-49 14.8.5 sci data register (scxdr) the scxdr consists of two data registers located at the same address. the receive data register (rdrx) is a read-only register that contains data received by the sci se- rial interface. data is shifte d into the receive serial shifter and is transferred to rdrx. the transmit data register (tdrx) is a write-only register that contains data to be trans- mitted. data is first written to tdrx, then transferred to the transmit serial shifter, where additional format bits are added before transmission. 13 nf noise error flag. nf is set when the receiver detects noise on a valid start bit, on any of the data bits, or on the stop bit(s). it is not set by noise on the idle line or on invalid start bits. each bit is sampled three times for noise. if the three samples are not at the same logic level, the majority value is used for the received data value, and nf is set. nf is not set until the entire frame is received and rdrf is set. although no interrupt is explicitly associated with nf, an interrupt can be generated with rdrf, and the interrupt handler can check nf. 0 = no noise detected in the received data. 1 = noise detected in the received data. for receiver queue operation nf is cleared when scxsr is read with nf set, followed by a read of scrq[0:15]. 14 fe framing error. fe is set when the receiver detects a zero where a stop bit (one) was expected. a framing error results when the frame boundaries in the received bit stream are not synchro- nized with the receiver bit counter. fe is not set until the entire frame is received and rdrf is set. although no interrupt is explicitly associated with fe, an interrupt can be generated with rdrf, and the interrupt handler can check fe. 0 = no framing error detected in the received data. 1 = framing error or break detected in the received data. 15 pf parity error. pf is set when the receiver detects a parity error. pf is not set until the entire frame is received and rdrf is set. although no interrupt is explicitly associated with pf, an interrupt can be generated with rdrf, and the interrupt handler can check pf. 0 = no parity error detected in the received data. 1 = parity error detected in the received data. scxdr ? sci data register 0x30 500e, 0x30 5026 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved r8/t8 r7/t7 r6/t6 r5/t5 r4/t4 r3/t3 r2/t2 r1/t1 r0/t0 reset: 0 0 0 0 0 0 0 u u u u u u u u u table 14-25 scxsr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-50 14.8.6 sci pins the rxd1 and rxd2 pins are the receive data pins for the sci1 and sci2, respec- tively. txd1 and txd2 are the transmit data pins for the two sci modules. an external clock pin, eck, is common to both scis. the pins and their functions are listed in ta- ble 14-27 . 14.8.7 sci operation the sci can operate in polled or interrupt-driven mode. status flags in scxsr reflect sci conditions regardless of the operating mode chosen. the tie, tcie, rie, and ilie bits in sccxr1 enable interrupts for the conditions indicated by the tdre, tc, rdrf, and idle bits in scxsr, respectively. 14.8.7.1 definition of terms  bit-time ? the time required to transmit or receive one bit of data, which is equal to one cycle of the baud frequency.  start bit ? one bit-time of logic zero that indicates the beginning of a data frame. a start bit must begin with a one-to-zero transition and be preceded by at least three receive time samples of logic one.  stop bit? one bit-time of logic one that indicates the end of a data frame.  frame ? a complete unit of serial information. the sci can use 10-bit or 11-bit frames.  data frame ? a start bit, a specified numb er of data or information bits, and at least one stop bit.  idle frame ? a frame that consists of consecutive ones. an idle frame has no start bit. table 14-26 scxsr bit descriptions bit(s) name description 0:6 ? reserved 7:15 r[8:0]/ t[8:0] r[7:0]/t[7:0] contain either the eight data bits received when scxdr is read, or the eight data bits to be transmitted when scxdr is written. r8/t8 are used when the sci is configured for nine-bit operation (m = 1). when the sci is configured for 8-bit operation, r8/t8 have no mean- ing or effect. accesses to the lower byte of scxdr triggers the mechanism for clearing the status bits or for initiating transmissions whether byte, half-word, or word accesses are used. table 14-27 sci pin functions pin names mnemonic mode function receive data rxd1, rxd2 receiver disabled receiver enabled general purpose input serial data input to sci transmit data txd1, txd2 transmitter disabled transmitter enabled general purpose output serial data output from sci external clock eck receiver disabled receiver enabled transmitter disabled transmitter enabled not used alternate input source to baud not used alternate input source to baud f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-51  break frame ? a frame that consists of consecutive zeros. a break frame has no stop bits. 14.8.7.2 serial formats all data frames must have a start bit and at least one stop bit. receiving and transmit- ting devices must use the same data frame format. the sci provides hardware sup- port for both 10-bit and 11-bit frames. the m bit in sccxr1 specifies the number of bits per frame. the most common data frame format for nrz (n on-return to zero) serial interfaces is one start bit, eight data bits (lsb first), and one stop bit (ten bits total). the most com- mon 11-bit data frame contains one start bit, eight data bits, a parity or control bit, and one stop bit. ten-bit and 11-bit frames are shown in table 14-28 . 14.8.7.3 baud clock the sci baud rate is programmed by writing a 13-bit value to the scxbr field in sci control register zero (sccxr0). the baud rate is derived from the mcu imb clock by a modulus counter. writing a value of zero to scxbr[12:0] disables the baud rate gen- erator. the baud rate is calculated as follows: or where scxbr is in the range {1, 2, 3, ..., 8191}. table 14-28 serial frame formats 10-bit frames start data parity/control stop 17?2 1711 18?1 11-bit frames start data parity/control stop 1712 1811 sci baud rate f sys 32 scxbr ------------------------- = scxbr f sys 32 sci baud rate desired ------------------------------------------------------ - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-52 the sci receiver operates asynchronously. an internal clock is necessary to synchro- nize with an incoming data stream. the sci baud rate generator produces a receive time sampling clock with a frequency 16 times that of the sci baud rate. the sci de- termines the position of bit boundaries from transitions within the received waveform, and adjusts sampling points to the proper positions within the bit period. table 14-29 shows possible baud rates for a 40-mhz imb clock. the maximum baud rate with this imb clock speed is 1250 kbaud. 14.8.7.4 parity checking the pt bit in sccxr1 selects either even (pt = 0) or odd (pt = 1) parity. pt affects received and transmitted data. the pe bit in sccxr1 determines whether parity checking is enabled (pe = 1) or disabled (pe = 0). when pe is set, the msb of data in a frame (i.e., the bit preceding the stop bit) is used for the parity function. for trans- mitted data, a parity bit is generated. for received data, the parity bit is checked. when parity checking is enabled, the pf bit in the sci status register (scxsr) is set if a par- ity error is detected. enabling parity affects the number of data bits in a frame, which can in turn affect frame size. table 14-24 shows possible data and parity formats. 14.8.7.5 transmitter operation the transmitter consists of a serial shifter and a parallel data register (tdrx) located in the sci data register (scxdr). the serial shifter cannot be directly accessed by the cpu. the transmitter is double-buffered, whic h means that data can be loaded into the tdrx while other data is shifted out. the te bit in sccxr1 enables (te = 1) and dis- ables (te = 0) the transmitter. the shifter output is connected to the txd pin while the transmitter is operating (te = 1, or te = 0 and transmission in progress). wired-or operation should be specified when more than one transmitter is used on the same sci bus. the woms bit in table 14-29 examples of scix baud rates 1 notes: 1. these rates are based on a 40-mhz imb clock. nominal baud rate actual baud rate percent error value of scxbr 1,250,000.00 57,600.00 38,400.00 32,768.00 28,800.00 19,200.00 14,400.00 9,600.00 4,800.00 2,400.00 1,200.00 600.00 300.00 1,250,000.00 56,818.18 37,878.79 32,894.74 29,069.77 19,230.77 14,367.81 9,615.38 4,807.69 2,399.23 1,199.62 600.09 299.98 0.00 -1.36 -1.36 0.39 0.94 0.16 -0.22 0.16 0.16 -0.03 -0.03 0.02 -0.01 1 22 33 38 43 65 87 130 260 521 1042 2083 4167 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-53 sccxr1 determines whether txd is an open drain (wired-or) output or a normal cmos output. an external pull-up resistor on txd is necessary for wired-or opera- tion. woms controls txd function, regardless of whether the pin is used by the sci or as a general-purpose output pin. data to be transmitted is written to scxdr, then transferred to the serial shifter. before writing to tdrx, the user should check the transmit data register empty (tdre) flag in scxsr. when tdre = 0, the tdrx contains data that has not been transferred to the shifter. writing to scxdr again overwrites the data. if tdre = 1, then tdrx is empty, and new data may be written to tdrx, clearing tdre. as soon as the data in the transmit serial sh ifter has shifted out and if a new data frame is in tdrx (tdre = 0), then the new data is transferred from tdrx to the transmit se- rial shifter and tdre is set automatically. an interrupt may optionally be generated at this point. the transmission complete (tc) flag in sc xsr shows transmitter shifter state. when tc = 0, the shifter is busy. tc is set when all shifting operations are completed. tc is not automatically cleared. the processor must clear it by first reading scxsr while tc is set, then writing new data to scxdr, or writing to sctq[0:15] for transmit queue operation. the state of the serial shifter is checked when the te bit is set. if tc = 1, an idle frame is transmitted as a preamble to the following data frame. if tc = 0, the current opera- tion continues until the final bit in the frame is sent, then the preamble is transmitted. the tc bit is set at the end of preamble transmission. the sbk bit in sccxr1 is used to insert break frames in a transmission. a non-zero integer number of break frames are transmitted while sbk is set. break transmission begins when sbk is set, and ends with the transmission in progress at the time either sbk or te is cleared. if sbk is set while a transmission is in pr ogress, that transmis- sion finishes normally before the break begins. to ensure the minimum break time, toggle sbk quickly to one and back to zero. the tc bit is set at the end of break trans- mission. after break transmission, at least one bit-time of logic level one (mark idle) is transmitted to ensure that a subsequent start bit can be detected. if te remains set, after all pending idle, data and break frames are shifted out, tdre and tc are set and txd is held at logic level one (mark). when te is cleared, the transmitter is disabled after all pending idle, data, and break frames are transmitted. the tc flag is set, and control of the txd pin reverts to pqspar and ddrqs. buffered data is not transmitted after te is cleared. to avoid losing data in the buffer, do not clear te until tdre is set. some serial communication systems requir e a mark on the txd pin even when the transmitter is disabled. configure the txd pin as an output, then write a one to either qdtx1 or qdtx2 of the portqs register. see 14.6.1 . when the transmitter releases control of the txd pin, it reverts to driving a logic one output. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-54 to insert a delimiter between two messages, to place non-listening receivers in wake- up mode between transmissions, or to signal a re-transmission by forcing an idle-line, clear and then set te before data in the se rial shifter has shifte d out. the transmitter finishes the transmission, then sends a prea mble. after the preamble is transmitted, if tdre is set, the transmitter marks idle. other wise, normal transmission of the next se- quence begins. both tdre and tc have associated interrupts. the interrupts are enabled by the transmit interrupt enable (tie) and transmission complete interrupt enable (tcie) bits in sccxr1. service routines can load the la st data frame in a sequence into scxdr, then terminate the transmission when a tdre interrupt occurs. two sci messages can be separated with minimum idle time by using a preamble of 10 bit-times (11 if a 9-bit data format is specified) of marks (logic ones). follow these steps: 1. write the last data frame of the first message to the tdrx 2. wait for tdre to go high, indicating that the last data frame is transferred to the transmit serial shifter 3. clear te and then set te back to one. this queues the preamble to follow the stop bit of the current transmission immediately. 4. write the first data frame of the second message to register tdrx in this sequence, if the first data frame of the second message is not transferred to tdrx prior to the finish of the preamble transmission, then the transmit data line (txdx pin) marks idle (logic one) until tdrx is written. in addition, if the last data frame of the first message finishes shifting out (including the stop bit) and te is clear, tc goes high and transmission is considered complete. the txdx pin reverts to being a general-purpose output pin. 14.8.7.6 receiver operation the receiver can be divided into two segments. the first is the receiver bit processor logic that synchronizes to the asynchronous receive data and evaluates the logic sense of each bit in the serial stream. the second receiver segment controls the func- tional operation and the interface to the cpu including the conversion of the serial data stream to parallel access by the cpu. receiver bit processor ? the receiver bit processor contains logic to synchronize the bit-time of the incom-ing data and to evaluate the logic sense of each bit. to ac- complish this an rt clock, which is 16 times the baud rate, is used to sample each bit. each bit-time can thus be divided into 16 time periods called rt1?rt16. the receiver looks for a possible start bit by watching for a high-to-low transition on the rxdx pin and by assigning the rt time labels appropriately. when the receiver is enabled by writing re in sccxr1 to one, the receiver bit pro- cessor logic begins an asynchronous search for a start bit. the goal of this search is to gain synchronization with a frame. the bit-time synchronization is done at the be- ginning of each frame so that small differences in the baud rate of the receiver and transmitter are not cumulative. scix also synchronizes on all one-to-zero transitions f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-55 in the serial data stream, which makes scix tolerant to small frequency variations in the received data stream. the sequence of events used by the receiver to find a start bit is listed below. 1. sample rxdx input during each rt period and maintain these samples in a se- rial pipeline that is three rt periods deep. 2. if rxdx is low during this rt period, go to step 1. 3. if rxdx is high during this rt period, store sample and proceed to step 4. 4. if rxdx is low during this rt period, but not high for the previous three rt pe- riods (which is noise only), set an internal working noise flag and go to step 1, since this transition was not a valid start bit transition. 5. if rxdx is low during this rt period and has been high for the previous three rt periods, call this period rt1, set raf, and proceed to step 6. 6. skip rt2 but place rt3 in the pipeline and proceed to step 7. 7. skip rt4 and sample rt5. if both rt3 and rt5 are high (rt1 was noise only), set an internal working noise flag. go to step 3 and clear raf. otherwise, place rt5 in the pipeline and proceed to step 8. 8. skip rt6 and sample rt7. if any two of rt3, rt5, or rt7 is high (rt1 was noise only), set an internal working noise flag. go to step 3 and clear raf. oth- erwise, place rt7 in the pipeline and proceed to step 9. 9. a valid start bit is found and synchronization is achieved. from this point on until the end of the frame, the rt clock will increment starting over again with rt1 on each one-to-zero transition or each rt16. the beginning of a bit-time is thus defined as rt1 and the end of a bit-time as rt16. upon detection of a valid start bit, synchronization is established and is maintained through the reception of the last stop bit, after which the procedure starts all over again to search for a new valid start bit. during a frame's reception, scix resynchronizes the rt clock on any one-to-zero transitions. additional logic in the receiver bit processor determines the logic level of the re-ceived bit and implements an advanced noise-detection function. during each bit-time of a frame (including the start and stop bits), three logic-sense samples are taken at rt8, rt9, and rt10. the logic sense of the bit-time is decided by a majority vote of these three samples. this logic level is shifted into register rdrx for every bit except the start and stop bits. if rt8, rt9, and rt10 do not all agree, an internal working noise flag is set. addition- ally for the start bit, if rt3, rt5, and rt7 do not all agree, the internal working noise flag is set. if this flag is set for any of th e bit-times in a frame, the nf flag in scxsr is set concurrently with the rdrf flag in scxsr when the data is transferred to register rdrx. the user must determine if the data rece ived with nf set is valid. noise on the rxdx pin does not necessarily corrupt all data. the operation of the receiver bit processor is shown in figure 14-14 . this example demonstrates the search for a valid start bit and the synchronization procedure as out- lined above. the possibilities of noise durations greater than one bit-time are not con- sidered in this examples. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-56 figure 14-14 start search example 14.8.7.7 receiver functional operation the re bit in sccxr1 enables (re = 1) and disables (re = 0) the receiver. the re- ceiver contains a receive serial shifter and a parallel receive data register (rdrx) lo- cated in the sci data register (scxdr). the serial shifter cannot be directly accessed by the cpu. the receiver is double-buffered, allowing data to be held in the rdrx while other data is shifted in. receiver bit processor logic drives a state machine that determines the logic level for each bit-time. this state machine controls when the bit processor logic is to sample the rxd pin and also controls when data is to be passed to the receive serial shifter. a receive time clock is used to control sa mpling and synchronization. data is shifted into the receive serial shifter according to the most recent synchronization of the re- ceive time clock with the incoming data stre am. from this point on, data movement is synchronized with the mcu imb clock. operatio n of the receiver state machine is de- tailed in the queued serial module reference manual (qsmrm/ad) . the number of bits shifted in by the receiver depends on the serial format. however, all frames must end with at least one stop bit. when the stop bit is received, the frame is considered to be complete, and the received data in the serial shifter is transferred to the rdrx. the receiver data register fl ag (rdrf) is set when the data is trans- ferred. the stop bit is always a logic one. if a logic zero is sensed during this bit-time, the fe flag in scxsr is set. a framing error is usually caused by mismatched baud rates be- tween the receiver and transmitter or by a significant burst of noise. note that a framing error is not always detected; the data in the expected stop bit-time may happen to be a logic one. noise errors, parity errors, and framing errors can be detected while a data stream is being received. although error conditions are detected as bits are received, the noise r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 2 r t 3 r t 4 r t 5 r t 6 r t 7 r t 8 r t 9 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 1 r t 2 r t 3 11 111 0 0 *** * restart rt clock perceived start bit actual start bit lsb ** ** ** 0123456 * 1 1 11 0 00 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-57 flag (nf), the parity flag (pf) , and the framing error (fe) flag in scxsr are not set until data is transferred from th e serial shifter to the rdrx. rdrf must be cleared before the next tran sfer from the shifte r can take place. if rdrf is set when the shifter is full, transfers are inhibited and the overrun error (or) flag in scxsr is set. or indicates that the rdrx needs to be serviced faster. when or is set, the data in the rdrx is preserved, but the data in the serial shifter is lost. when a completed frame is received into the rdrx, either the rdrf or or flag is al- ways set. if rie in sccxr1 is set, an interrupt results whenever rdrf is set. the re- ceiver status flags nf, fe, and pf are set simultaneously with rdrf, as appropriate. these receiver flags are never set with or because the flags apply only to the data in the receive serial shifter. the receiver stat us flags do not have separate interrupt en- ables, since they are set simultaneously with rdrf and must be read by the user at the same time as rdrf. when the cpu reads scxsr and scxdr in sequence, it acquires status and data, and also clears the status flags. reading scxsr acquires status and arms the clear- ing mechanism. reading scxdr acquires data and clears scxsr. 14.8.7.8 idle-line detection during a typical serial transmission, frames are transmitted isochronically and no idle time occurs between frames. even when all the data bits in a frame are logic ones, the start bit provides one logic zero bit-time during the frame. an idle line is a sequence of contiguous ones equal to the current frame size. frame size is determined by the state of the m bit in sccxr1. the sci receiver has both short and long idle-line detection capability. idle-line detec- tion is always enabled. the idle-line type (ilt) bit in sccxr1 determines which type of detection is used. when an idle-line condition is detected, the idle flag in scxsr is set. for short idle-line detection, the receiver bit processor counts contiguous logic one bit- times whenever they occur. short detection provides the earliest possible recognition of an idle-line condition, because the stop bit and contiguous logic ones before and after it are counted. for long idle-line detection, the receiver counts logic ones after the stop bit is received. only a complete idle frame causes the idle flag to be set. in some applications, software overhead can cause a bit-time of logic level one to oc- cur between frames. this bit-time does not affect content, but if it occurs after a frame of ones when short detection is enabled, the receiver flags an idle line. when the ilie bit in sccxr1 is set, an interrupt request is generated when the idle flag is set. the flag is cleared by reading scxsr and scxdr in sequence. for receiv- er queue operation, idle is cleared when scxsr is read with idle set, followed by a read of scrq[0:15]. idle is not set again until after at least one frame has been re- ceived (rdrf = 1). this prevents an extended idle interval from causing more than one interrupt. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-58 14.8.7.9 receiver wake-up the receiver wake-up function allows a transm itting device to dire ct a transmission to a single receiver or to a group of receivers by sending an address frame at the start of a message. hardware activates each receiv er in a system under certain conditions. resident software must process address information and enable or disable receiver operation. a receiver is placed in wake-up mode by setting the rwu bit in sccxr1. while rwu is set, receiver status flags and interrupts are disabled. although the software can clear rwu, it is normally cleared by hardware during wake-up. the wake bit in sccxr1 determines which type of wake-up is used. when wake = 0, idle-line wake-up is selected. when wake = 1, address-mark wake-up is selected. both types require a software-based device addressing and recognition scheme. idle-line wake-up allows a receiver to sleep until an idle line is detected. when an idle line is detected, the receiver clears rwu and wakes up. the receiver waits for the first frame of the next transmission. the data frame is received normally, transferred to the rdrx, and the rdrf flag is set. if software does not recognize the address, it can set rwu and put the receiver back to sleep. for idle-line wake-up to work, there must be a minimum of one frame of idle line between transmissions. there must be no idle time between frames within a transmission. address mark wake-up uses a special frame format to wake up the receiver. when the msb of an address-mark frame is set, that frame contains address information. the first frame of each transmission must be an address frame. when the msb of a frame is set, the receiver clears rwu and wakes up. the data frame is received normally, transferred to the rdrx, and the rdrf flag is set. if software does not recognize the address, it can set rwu and put the receiv er back to sleep. address mark wake-up allows idle time between frames and eliminates idle time between transmissions. how- ever, there is a loss of efficiency because of an additional bit-time per frame. 14.8.7.10 internal loop mode the loops bit in sccxr1 controls a feedba ck path in the data serial shifter. when loops is set, the sci transmitter output is fe d back into the receive serial shifter. txd is asserted (idle line). both transmitter and receiver must be enabled before entering loop mode. 14.9 sci queue operation 14.9.1 queue operation of sci1 for transmit and receive the sci1 serial module allows for queueing on transmit and receive data frames. in the standard mode, in which the queue is disabled, the sci1 operates as previously defined (i.e. transmit and receive operations done via sc1dr). however, if the sci1 queue feature is enabled (by setting the qte and/or qre bits within qsci1cr) a set of 16 entry queues is allocated for the receive and/or transmit operation. through soft- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-59 ware control the queue is capable of continuous receive and transfer operations within the sci1 serial unit. 14.9.2 queued sci1 stat us and control registers the sci1 queue uses the following registers:  qsci1 control register (qsci1cr, address offset 0x28)  qsci1 status register (qsci1sr, address offset 0x2a) 14.9.2.1 qsci1 control register qsci1cr ? qsci1 control register 0x30 5028 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 qtpnt qth- fi qbh- fi qthe i qb- hei 0 qte qre qtw e qtsz reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-60 table 14-30 qsci1cr bit descriptions bit(s) name description 0:3 qtpnt queue transmit pointer. qtpnt is a 4-bit counter used to indicate the next data frame within the transmit queue to be loaded into the sc1dr. this feature allows for ease of testability. this field is writable in test mode only; otherwise it is read-only. 4 qthfi receiver queue top-half full interrupt. when set, qthfi enables an sci1 interrupt whenever the qthf flag in qsci1sr is set. the interrupt is blocked by negating qthfi. this bit refers to the queue locations scrq[0:7]. 0 = qthf interrupt inhibited 1 = queue top-half full (qthf) interrupt enabled 5qbhfi receiver queue bottom-half full interrupt. when set, qbhfi enables an sci1 interrupt whenever the qbhf flag in qsci1sr is set. the interrupt is blocked by negating qbhfi. this bit refers to the queue locations scrq[8:15]. 0 = qbhf interrupt inhibited 1 = queue bottom-half full (qbhf) interrupt enabled 6qthei transmitter queue top-half empty interrupt. when set, qthei enables an sci1 interrupt when- ever the qthe flag in qsci1sr is set. the interrupt is blocked by negating qthei. this bit refers to the queue locations sctq[0:7]. 0 = qthe interrupt inhibited 1 = queue top-half empty (qthe) interrupt enabled 7 qbhei transmitter queue bottom-half empty interrupt. when set, qbhei enables an sci1 interrupt whenever the qbhe flag in qsci1sr is set. the interrupt is blocked by negating qbhei. this bit refers to the queue locations sctq[8:15]. 0 = qbhe interrupt inhibited 1 = queue bottom-half empty (qbhe) interrupt enabled 8?reserved 9qte queue transmit enable. when set, the transmit queue is enabled and the tdre bit should be ignored by software. the tc bit is redefined to indicate when the entire queue is finished trans- mitting. when clear, the sci1 functions as described in the previous sections and the bits related to the queue (section 5.5 and its subsections) should be ignored by software with the exception of qte. 0 = transmit queue is disabled 1 = transmit queue is enabled 10 qre queue receive enable. when set, the receive queue is enabled and the rdrf bit should be ig- nored by software. when clear, the sci1 functions as described in the previous sections and the bits related to the queue (section 5.5 and its subsections) should be ignored by software with the exception of qre. 0 = receive queue is disabled 1 = receive queue is enabled 11 qtwe queue transmit wrap enable. when set, the transmit queue is allowed to restart transmitting from the top of the queue after reaching the bottom of the queue. after each wrap of the queue, qtwe is cleared by hardware. 0 = transmit queue wrap feature is disabled 1 = transmit queue wrap feature is enabled 12:15 qtsz queue transfer size. the qtsz bits allow programming the number of data frames to be trans- mitted. from 1 (qtsz = 0b0000) to 16 (qtsz = 0b1111) data frames can be specified. qtsz is loaded into qpend initially or when a wrap occurs. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-61 14.9.2.2 qsci1 status register 14.9.3 qsci1 transmitter block diagram the block diagram of the enhancements to the sci transmitter is shown in figure 14- 15 . qsci1sr ? qsci1 status register 0x30 502a msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved qor qthf qbhf qthe qbhe qrpnt qpend reset: 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 table 14-31 qsci1s r bit descriptions bit(s) name description 0:2 ? reserved 3qor receiver queue overrun error. the qor is set when a new data frame is ready to be transferred from the sc1dr to the queue and the queue is already full (qthf or qbhf are still set). data transfer is inhibited until qor is cleared. previous data transferred to the queue remains valid. additional data received during a queue overrun condition is not lost provided the receive queue is re-enabled before or (sc1sr) is set. the or flag is set when a new data frame is received in the shifter but the data register (sc1dr) is still full. the data in the shifter that generated the or assertion is overwritten by the next received data frame, but the data in the sc1dr is not lost. 0 = the queue is empty before valid data is in the sc1dr 1 = the queue is not empty when valid data is in the sc1dr 4qthf receiver queue top-half full. qthf is set when the receive queue locations scrq[0:7] are com- pletely filled with new data received via the serial shifter. qthf is cleared when register qsci1sr is read with qthf set, followed by a write of qthf to zero. 0 = the queue locations scrq[0:7] are partially filled with newly received data or is empty 1 = the queue locations scrq[0:7] are completely full of newly received data 5qbhf receiver queue bottom-half full. qbhf is set when the receive queue locations scrq[8:15] are completely filled with new data received via the serial shifter. qbhf is cleared when register qsci1sr is read with qbhf set, followed by a write of qbhf to zero. 0 = the queue locations scrq[8:15] are partially filled with newly received data or is empty 1 = the queue locations scrq[8:15] are completely full of newly received data 6qthe transmitter queue top-half empty. qthe is set when all the data frames in the transmit queue locations sctq[0:7] have been transferred to the transmit serial shifter. qthe is cleared when register qsci1sr is read with qthe set, followed by a write of qthe to zero. 0 = the queue locations sctq[0:7] still contain data to be sent to the transmit serial shifter 1 = new data may now be written to the queue locations sctq[0:7] 7qbhe transmitter queue bottom-half empty. qbhe is set when all the data frames in the transmit queue locations sctq[8:15] has been transferred to the transmit serial shifter. qbhe is cleared when register qsci1sr is read with qbhe set, followed by a write of qbhe to zero. 0 = the queue locations sctq[8:15] still contain data to be sent to the transmit serial shifter 1 = new data may now be written to the queue locations sctq[8:15] 8:11 qrpnt queue receive pointer. qrpnt is a 4-bit counter used to indicate the position where the next valid data frame will be stored within the receive queue. this field is writable in test mode only; otherwise it is read-only. 12:15 qpend queue pending. qpend is a 4-bit decrementer used to indicate the number of data frames in the queue that are awaiting transfer to the sc1dr. this field is writable in test mode only; other- wise it is read-only. from 1 (qpend = 0b0000) to 16 (or done, qpend = 1111) data frames can be specified. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-62 figure 14-15 queue transmitter block enhancements 14.9.4 qsci1 additional tr ansmit operation features  available on a single sci channel (sci1) implemented by the queue transmit en- able (qte) bit set by software. when enabled, (qte = 1) the tdre bit should be ignored by software and the tc bit is redefined (as described later).  when the queue is disabled (qte = 0), the sci functions in single buffer transfer mode where the queue size is set to one (qtsz = 0000), and tdre and tc func- tion as previously defined. locations sctq[0:15] can be used as general pur- pose 9-bit registers. all other bits pertaining to the queue should be ignored by software.  programmable queue up to 16 transmit s (sctq[0:15]) which may allow for infi- nite and continuous transmits.  available transmit wrap function to prevent message breaks for transmits greater 10 (11) - bit tx shift register stop start h(8)76543210l txd sctq[0] sctq[1] sctq[15] 9-bit 16:1 mux tr a n s m i t t e r baud rate clock 4-bits qtsz[0:3] qthei qbhei queue control qte qpend[0:3] qthe qbhe qtwe queue status queue control logic sci interrupt request sc1dr tx buffer sci1 non-queue operation data bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-63 than 16. this is achieved by the transmit wrap enable (qtwe) bit. when qtwe is set, the hardware is allowed to restart transmitting from the top of the queue (sctq[0]). after each wrap, qtwe is cleared by hardware. ? transmissions of more than 16 data frames must be performed in multiples of 16 (qtsz = 0b1111) except for the last set of transmissions. for any single non-continuous transmissions of 16 or less or the last transmit set composed of 16 or fewer data frames, the user is allowed to program qtsz to the corre- sponding value of 16 or less where qtwe = 0.  interrupt generation when the top half (sctq[0:7]) of the queue has been emp- tied (qthe) and the bottom half (sctq[8:15]) of the queue has been emptied (qbhe). this may allow for uninterrupted and continuous transmits by indicating to the cpu that it can begin refilling th e queue portion that is now emptied. ? the qthe bit is set by hardware when the top half is empty or the transmis- sion has completed. the qthe bit is cleared when the qsci1sr is read with qthe set, followed by a write of qthe to zero. ? the qbhe bit is set by hardware when the bottom half is empty or the trans- mission has completed. the qbhe bit is cleared when the qsci1sr is read with qbhe set, followed by a write of qbhe to zero. ? in order to implement the transmit queue, qte must be set (qsci1cr), te must be set (scc1r1), qthe must be cleared (qsci1sr), and tdre must be set (sc1sr).  enable and disable options for the interrupts qthe and qbhe as controlled by qthei and qbhei respectfully.  programmable 4-bit register queue transmit size (qtsz) for configuring the queue to any size up to 16 transfers at a time. this value may be rewritten after transmission has started to allow for the wrap feature.  4-bit status register to indicate the number of data transfers pending (qpend). this register counts down to all 0?s where the next count rolls over to all 1?s. this counter is writable in test mode; otherwise it is read-only.  4-bit counter (qtpnt) is used as a pointer to indicate the next data frame within the transmit queue to be loaded into the sc1dr. this counter is writable in test mode; otherwise it is read-only.  a transmit complete (tc) bit re-defined when the queue is enabled (qte = 1) to indicate when the entire queue (including when wrapped) is finished transmitting. this is indicated when qpend = 1111 and the shifter has completed shifting data out. tc is cleared when the scxsr is read with tc = 1 followed by a write to sctq[0:15]. if the queue is disabled (qte = 0), the tc bit operates as originally designed.  when the transmit queue is enabled (qte = 1), writes to the transmit data register (sc1dr) have no effect. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-64 14.9.5 qsci1 transmit flow chart implementing the queue figure 14-16 queue transmit flow reset set qte=1 shift data out load tdr (sc1dr) with sctq[qtpnt] decrement qpend, qpend = 1111 no ye s set qthei, qbhei hardware software load qpend with qtsz, increment qtpnt qtpnt=1000? qbhe=0? reset qtpnt to 0000 write qtsz=n clear qthe, tc write sctq[0:n] set te qte=1, te=1 no ye s tdre=1, qthe=0? refers to action performed in parallel qte, te=1? no ye s qtpnt = 1111? qtwe = 1 set qthe, qbhe clear qte no set qthe set qbhe no yes no ye s ye s ye s write qtsz for wrap clear qthe possible set of qtwe clear qbhe clear qtwe te=0, tc=1, tdre=1 qte=0, qtpnt=0, qtwe=0 qthei=0, qthe=1 qbhei=0, qbhe=1 & qthe = 0? no (tdre=1) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-65 figure 14-17 queue transmit software flow qthe = 1? ye s no enable queue interrupt reset configure the transmit flow qbhe = 1? ye s no qthei = 1, if transmitting greater than 16 data frames, write qtsz=n for first pass use of the queue set qte and te = 1 enable queue interrupt for first use of the queue. if finished transmitting, then clear qte and/or te if finished transmitting, then clear qte and/or te done done read status register with tc = 1, write sctq[0:n] (clears tc) read status register with qthe=1 write qthe = 0 (and qbhe if transmitting more than 8 data must have equaled 16) read qthe=1, write qthe=0 write new data sctq[0:7] to wrap, write new qtsz=n set qtwe (previous qtsz if transmitting greater than 8 data frames on wrap read qbhe=1,write qbhe=0 write new data to sctq[8:15] frames) qbhei = 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-66 14.9.6 example qsci1 transmit for 17 data bytes figure 14-18 below shows a transmission of 17 data frames. the bold type indicates the current value for qtpnt and qpend. the italic type indicates the action just per- formed by hardware. regular type indicates the actions that should be performed by software before the next event. figure 14-18 queue transmit example for 17 data bytes 0000 0111 1000 1111 qtpnt qpend 1111 1000 0111 0000 qtsz=1111 (16 data frames) sctq[0] sctq[7] sctq[8] sctq[15] write new qtsz for when wrap occurs qtsz=0 (16+1=17),set qtwe, clear qthe write sctq[0] for 17th transfer 0000 0111 1000 1111 qtpnt qpend 0000 qtsz=0000 (1 data frame) sctq[0] sctq[7] sctq[8] sctq[15] load qpend with qtsz (0) reset qtpnt 0000 0111 1000 1111 qtpnt qpend 1111 1000 0111 0000 qtsz=1111 (16 data frames) sctq[0] sctq[7] sctq[8] sctq[15] data to betransferred available register space 0001 1111 qthe interrupt received transmit queue enabled 1 2 3 qbhe interrupt received (wrap occurred) clear qtwe f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-67 14.9.7 example sci transmit for 25 data bytes figure 14-19 below is an example of a transmission of 25 data frames. figure 14-19 queue transmit example for 25 data frames 0000 0111 1000 1111 qtpnt qpend 1111 1000 0111 0000 qtsz=1111 (16 data frames) sctq[0] sctq[7] sctq[8] sctq[15] 0000 0111 1000 1111 qtpnt qpend 1000 qtsz=1000 (9 data frames) sctq[0] sctq[7] sctq[8] sctq[15] 0000 0111 1000 1111 qtpnt qpend 1111 1000 0111 0000 qtsz=1111 (16 data frames) sctq[0] sctq[7] sctq[8] sctq[15] 0001 0000 0111 1000 1111 qtpnt qpend 1000 qtsz=1000 (9 data frames) sctq[0] sctq[7] sctq[8] sctq[15] 0000 0000 0001 data to be transferred available register space 1001 1111 qthe interrupt received write qtsz = 8 (16 + 9 = 25) write sctq [0:7] for 8 more data frames set qtwe clear qthe load qpend with qtsz clear qtwe write sctq[8] clear qbhe 3 1 2 4 transmit queue enabled qbhe interrupt received (wrap occurred) reset qtpnt qthe interrupt received f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-68 14.9.8 qsci1 receiver block diagram the block diagram of the enhancements to the sci receiver is shown below in figure 14-20 . figure 14-20 queue receiver block enhancements 14.9.9 qsci1 additional receive operation features  available on a single sci channel (sci1) implemented by the queue receiver en- able (qre) bit set by software. when the queue is enabled, software should ig- nore the rdrf bit.  when the queue is disabled (qre = 0), the sci functions in single buffer receive mode (as originally designed) and rdrf and or function as previously defined. rxd receiver baud rate clock 10 (11) - bit rx shift register stop start h(8)76543210l scrq[0] scrq[1] scrq[15] 16:1 mux 4-bits qre qthfi qbhfi queue control qrpnt[0:3] qthf qbhf queue status queue control logic sci interrupt request qor scxdr rx buffer sci1 non-queue operation data bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-69 locations scrq[0:15] can be used as general purpose 9-bit registers. software should ignore all other bits pertaining to the queue.  only data that has no errors (fe and pf both false) is allowed into the queue. the status flags fe and pf, if set, reflect the status of data not allowed into the queue. the receive queue is disabled until the error flags are cleared via the original sci mechanism and the queue is re-initialized. the pointer qrpnt indicates the queue location where the data frame would have been stored.  queue size capable to receive up to 16 data frames (scrq[0:15]) which may al- low for infinite and continuous receives.  interrupt generation can occur when the top half (scrq[0:7]) of the queue has been filled (qthf) and the bottom half (scrq[8:15]) of the queue has been filled (qbhf). this may allow for uninterrupted and continuous receives by indicating to the cpu to start reading the queue portion that is now full. ? the qthf bit is set by hardware when the top half is full. the qthf bit is cleared when the scxsr is read with qthf set, followed by a write of qthf to zero. ? the qbhf bit is set by hardware when the bottom half is full. the qbhf bit is cleared when the scxsr is read with qbhf set, followed by a write of qbhf to zero.  in order to implement the receive queue, the following conditions must be met: qre must be set (qsci1cr); re must be set (scc1r1); qor and qthf must be cleared (qsci1sr); and or, pf, and fe must be cleared (sc1sr).  enable and disable options for the interrupts qthf and qbhf as controlled by the qthfi and qbhfi, respectfully.  4-bit counter (qrpnt) is used as a pointer to indicate where the next valid data frame will be stored.  a queue overrun error flag (qor) to indicate when the queue is already full when another data frame is ready to be stored into the queue (similar to the or bit in single buffer mode). the qor bit can be set for qthf = 1 or qbhf = 1, depend- ing on where the store is being attempted.  the queue can be exited when an idle line is used to indicate when a group of serial transmissions is finished. this can be achieved by using the ilie bit to en- able the interrupt when the idle flag is set. the cpu can then clear qre and/or re allowing the receiver queue to be exited.  for receiver queue operation, idle is cleared when sc1sr is read with idle set, followed by a read of scrq[0:15].  for receiver queue operation, nf is cleared when the sc1sr is read with nf set, followed by a read of scrq[0:15]. when noise occurs, the data is loaded into the receive queue, and operation continues unaffected. however, it may not be pos- sible to determine which data frame in the receive queue caused the noise flag to be asserted.  the queue is successfully filled (16 data frames) if error flags (fe and pf) are clear, qthf and qbhf are set, and qrpnt is reset to all zeroes.  qor indicates that a new data frame has been received in the data register (sc1dr), but it cannot be placed into the receive queue due to either the qthf or qbhf flag being set (qsci1sr). under this condition, the receive queue is dis- abled (qre = 0). software may service the receive queue and clear the appropri- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-70 ate flag (qthf, qbhf). data is not lost provided that the receive queue is re- enabled before or (sc1sr) is set, which occurs when a new data frame is re- ceived in the shifter but the data register (sc1dr) is still full. the data in the shifter that generated the or assertion is overwritten by the next received data frame, but the data in the sc1dr is not lost. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-71 14.9.10 qsci1 receive flow chart implementing the queue figure 14-21 queue receive flow re=0, qrwe=0 qrpnt=0000 set qre load rx data to qrpnt = 0000? no ye s scrq[qrpnt], qre=0, qor=0 hardware software refers to action performed in parallel qthf=1, qbhf=1 qthfi=0, qbhfi=0 no ye s set qthfi, qbhfi clear qthf, qbhf set re reset increment qrpnt qre, re=1? no ye s qre/re=1 qthf/qor=0 fe/pe/or=0 qrpnt=8 & qbhf qrpnt=0 & qthf fe, pe = 0? qrpnt = 1000? reset qrpnt to 0000 rdrf=1? clear qre set qthf set qbhf ye s no no set qor clear qthf clear qbhf ye s ye s no no ye s f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-72 14.9.11 qsci1 receive queue software flow chart figure 14-22 queue receive software flow qthf=1? ye s no enable queue interrupts reset configure the receive queue qbhf = 1? ye s no read status register with qbhf = 1 read scrq[8:15] read status register with qthfi, qbhfi = 1, set qre and re = 1 qthf = 1 read scrq[0:7] read status register with qthf & qbhf = 1, write qthf & qbhf = 0 functioncan be used to indicate when a group of serial transmissions is finished enable ilie=1 to detect an idle line idle = 1? ye s no clear qre and/or re to exit the queue done write qthf = 0 write qbhf = 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-73 14.9.12 example qsci1 receive operation of 17 data frames figure 14-23 shows an example receive operation of 17 data frames. the bold type indicates the current value for the qrpnt. action of the queue may be followed by starting at the top of the figure and going left to right and then down the page. figure 14-23 queue receive example for 17 data bytes 0000 0111 1000 1111 qrpnt scrq[0] scrq[7] scrq[8] scrq[15] 0000 0111 1000 1111 qrpnt scrq[0] scrq[7] scrq[8] scrq[15] read scsr and scrq[0:7] clear qthf 0000 0111 1000 1111 qrpnt scrq[0] scrq[7] scrq[8] 0000 0111 1000 1111 qrpnt scrq[0] scrq[7] 0001 data available received space qthf interrupt received scrq[15] read scrq[8:15] clear qbhf qbhf interrupt received scrq[8] scrq[15] clear qre/re receive queue enabled read scrq[0] idle interrupt received 1 2 3 4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 queued serial multi-channel module motorola user?s manual rev. 15 october 2000 14-74 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-1 section 15 modular input/output subsystem (mios1) the modular i/o system (mios) consists of a li brary of flexible i/o and timer functions including i/o port, counters, input capture, output compare, pulse and period measure- ment, and pwm. because the mios is composed of submodules, it is easily config- urable for different kinds of applications. mios1 is the implementation of the mios architecture used in the MPC555 / mpc556. the mios1 is composed of the following submodules:  one mios bus interface submodule (mbism)  one mios counter prescaler submodule (mcpsm)  two mios modulus counter submodules (mmcsm)  10 mios double action submodules (mdasm)  eight mios pulse width modulation submodules (mpwmsm)  one mios 16-bit parallel port i/o submodule (mpiosm)  two mios interrupt request submodules (mirsm) 15.1 mios1 features the basic features of the mios1 are as follows:  modular architecture at the silicon implementation level  disable capability in each submodule to allow power saving when its function is not needed  two 16-bit buses to allow action submodules to use counter data  when not used for timing functions, every channel pin can be used as a port pin: i/o, output only or input only, depending on the channel function  submodules pin status bits:  mios counter prescaler submodule (mcpsm): ? centralized counter clock generator ? programmable 4-bit modulus down-counter ? wide range of possible division ratios: 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 and 16 ? count inhibit under software control  two mios modulus counter submodules (mmcsm), each with these features: ? programmable 16-bit modulus up-counter with built-in programmable 8-bit prescaler clocked by mcpsm output ? maximum increment frequency of the counter:  clocked by the internal counter clock: f sys /2  clocked by the external pin: f sys /4 ? flag setting and possible interrupt generation on overflow of the up-counter ? time counter on internal clock with interrupt capability after a pre-determined time f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-2 ? optional pin usable as an external event counter (pulse accumulator) with overflow and interrupt capability after a pre-determined number of external events ? usable as a regular free-running up-counter ? capable of driving a dedicated 16-bit counter bus to provide timing information to action submodules ? the value driven is the contents of the 16-bit up- counter register ? optional pin to externally force a load of the counter with modulus value  ten mios double action submodules (mdasm), each with these features: ? versatile 16-bit dual action unit allowing two events to occur before software intervention is required ? six software selectable modes allowing the mdasm to perform pulse width and period measurements, pwm generation, single input capture and output compare operations as well as port functions ? software selection of one of the two possible 16-bit counter buses used for tim- ing operations ? flag setting and possible interrupt generation after mdasm action completion ? software selection of output pulse polarity ? software selection of totem-pole or open-drain output ? software readable output pin status  eight mios pulse width modulation submodules (mpwmsm), each with these features: ? output pulse width modulated (pwm) signal generation with no software in- volvement ? built-in 8-bit programmable prescaler clocked by the mcpsm ? pwm period and pulse width values provided by software:  double-buffered for glitch-free period and pulse width changes  2-cycle minimum output period/pulse-width increment (50 ns at f sys = 40 mhz)  50% duty-cycle output maximum frequency: 10 mhz  up to 16 bits output pulse width resolution  wide range of periods: ? 16 bits of resolution: period range from 3.27 ms (with 50 ns steps) to 6.71 s (with 102.4 s steps) ? 8 bits of resolution: period range from 12.8 s (with 50 ns steps) to 26.2 ms (with 102.4 s steps)  wide range of frequencies: ? maximum output frequency at f sys = 40 mhz with 16 bits of resolution and divide-by-2 prescaler selection: 305 hz (3.27 ms.) ? minimum output frequency at f sys = 40 mhz with 16 bits of resolution and divide-by-4096 prescaler selection: 0.15 hz (6.7 s.) ? maximum output frequency at f sys = 40 mhz with 8 bits of resolution and divide-by-2 prescaler selection: 78125 hz (12.8 s.) ? minimum output frequency at f sys = 40 mhz with 8 bits of resolution and divide-by-4096 prescaler selection: 38.14 hz (26.2 ms.) ? programmable duty cycle from 0% to 100% ? possible interrupt generation after every period f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-3 ? software selectable output pulse polarity ? software readable output pin status ? possible use of pin as i/o port when pwm function is not needed  mios 16-bit parallel port i/o submodule (mpiosm): ? 16 parallel input/output pins ? simple data direction register (ddr) concept for selection of pin direction 15.2 submodule numbering, naming and addressing a block is a group of four 16-bit register s. each of the blocks within the mios1 ad- dressing range is assigned a block number. the first block is located at the base ad- dress of the mios1. the blocks are nu mbered sequentially starting from 0. every submodule instantiation is also assigned a number. the number of a given sub- module is the block number of the first block of this submodule. a submodule is assigned a name made of its acronym followed by its submodule num- ber. for example, if submodule number 18 were an mpwmsm, it would be named mpwmsm18. this numbering convention does not apply to the mbism, the mcpsm and the mirsms. the mbism and the mcpsm are unique in the mios1 and do not need a number. the mirsms are numbered incrementally starting from zero. the mios1 base address is defined at the chip level and is referred to as the ?mios1 base address.? the mios1 addressable range is four kbytes. the base address of a given implemented submodule within the mios1 is the sum of the base address of the mios1 and the submodule number multiplied by eight. (refer to table 15-36 .) this does not apply to the mbism, the mcpsm and the mirsms. for these submod- ules, refer to the mios1 memory map ( figure 15-2 ). 15.3 mios1 signals the mios1 requires 34 pins: 10 mdasm pins, eight mpwmsm pins and 16 mpiosm pins. the usage of these pins is shown in the block diagram of figure 15-1 and in the configuration description of table 15-36 . in the figure, mdasm pins have a prefix mda, mpwmsm pins have a prefix of mpwm and the port pins have a prefix of mpio. the modulus counter clock and load pins are multiplexed with mdasm pins. the mios1 input and output pin names are composed of five fields according to the following convention:  ?m?    (optional)  (optional) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-4 the pin prefix and suffix for the different mios submodules are as follows:  mmcsm: ? submodule short_prefix: ?mc? ? pin attribute suffix: c for the clock pin ? pin attribute suffix: l for the load pin ? for example, an mmcsm placed as submodule number n would have its cor- responding input clock pin named mmcnc and its input load pin named mmcnl. on the MPC555 / mpc556 mmc6c is input on mda11 and mmc22c is input on mda13. the mmc6l is input on mda12 and mmc22c is input on mda14.  mdasm: ? submodule short_prefix: ?da? ? pin attribute suffix: none ? for example a mdasm placed as submodule number n would have its corre- sponding channel i/o pin named mdan  mpwmsm: ? submodule short_prefix: ?pwm? ? pin attribute suffix: none ? for example a mpwmsm placed as submodule number n would have its cor- responding channel i/o pin named mpwmn  mpiosm: ? submodule short_prefix: ?pio? ? pin attribute suffix: b ? for example a mpiosm placed as submodule number n would have its cor- responding i/o pins named mpionb0 to mp ionb15 for bit-0 to bit-15, respec- tively. in the mios1, some pins are multiplexed between submodules using the same pin names for the inputs and outputs which are connected as shown in table 15-36 . 15.4 block diagram figure 15-1 is a block diagram of the mios1. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-5 figure 15-1 mios1 block diagram modulus counter mmcsm6 bus interface unit submodule 16-bit counter bus 22 16-bit counter bus 6 modular i/o bus (miob) c l (cb6) (cb22) mpio32b15 mpio32b0 16-bit port i/o mpiosm32 mpwm19 pwm mpwmsm19 mpwm16 pwm mpwmsm16 mda31 double action mdasm31 mda27 double action mdasm27 5xdasm modulus counter mmcsm22 c l (to all submodules) mda14 mda13 mda12 mda11 submodules interrupt mpwm3 pwm mpwmsm3 mpwm0 pwm mpwmsm0 mda15 double action mdasm15 mda11 double action mdasm11 5xdasm channel and i/o pins: 34 pins 4xpwmsm 4xpwmsm mcpsm imb3 imb3 clock (f sys ) counter clock f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-6 15.5 mios1 bus system the internal bus system within the mios1 is called the modular i/o bus (miob). the miob makes communications possible between any submodule and the imb3 bus master through the mbism. the miob is divided into three dedicated buses:  the read/write and control bus  the request bus  the counter bus set 15.5.1 read/write and control bus the read/write and control bus (rwcb) allows read and write data transfers to and from any i/o submodule through the mbism. it includes signals for data and address- es as well as control signals. the control signals allow 16-bit simple synchronous sin- gle master accesses and supports fast or slow master accesses. 15.5.2 request bus the request bus (rqb) provid es interrupt request sign als along with i/o submodule identification and priority information to the mbism. note that some submodules do not generate interrupts and are therefore independent of the rqb. 15.5.3 counter bus set the 16-bit counter bus set (cbs) is a set of two 16-bit counter buses. the cbs makes it possible to transfer information between submodules. typically, counter submod- ules drive the cbs, while action submodules process the data on these buses. note, however, that some submodules are self-contained and therefore independent of the counter bus set. 15.6 mios1 programmer?s model the address space of the mios1 consist of four kbytes starting at the base address of the module. the mios1 base address is a multiple of the addressable range. the overall address map organization is shown in figure 15-2 . to find the base address of a given implementation, refer to 1.3 MPC555 / mpc556 address map . to find the submodule base address, refer to table 15-36 . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-7 figure 15-2 mios1 memory map reserved supervisor/ mbism mcpsm base address 0x30 6c00 0x30 6fff 0x30 6800 reserved reserved unrestricted supervisor supervisor 0x30 6c00 0x30 6c04 0x30 6c06 0x30 6c30 0x30 6000 0x30 6810 reserved mios1lvl0 reserved mios1rpr0 mios1er0 reserved mios1sr0 submodules 31 to 16 submodules 15 to 0 mirsm0 mirsm1 channels 0x30 6c02 0x30 6c40 mpwmsm0 mpwmsm1 mpwmsm2 mpwmsm3 0x30 6000 0x30 6008 0x30 6010 0x30 6018 reserved mmcsm6 reserved mdasm11 0x30 6030 0x30 6058 mdasm12 mdasm13 mdasm14 mdasm15 0x30 6060 0x30 6068 0x30 6070 0x30 6078 mpwmsm16 mpwmsm17 mpwmsm18 mpwmsm19 0x30 6088 0x30 6098 0x30 6080 0x30 6090 reserved mmcsm22 reserved mdasm27 0x30 60b0 0x30 60d8 mdasm28 mdasm29 mdasm30 0x30 60e8 0x30 60f8 mdasm31 mpiosm32 0x30 6100 0x30 60e0 0x30 60f0 0x30 6c40 0x30 6c44 0x30 6c46 0x30 6c70 reserved mios1lvl1 reserved mios1rpr1 mios1er1 mios1sr1 reserved 0x30 6c42 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-8 if a supervisor privilege address space is accessed in user mode, the module returns a bus error. all mios1 unimplemented locations within the addressable range, return a logic 0 when accessed. in addition, the internal tea (transfer error acknowledge) signal is as- serted. all unused bits within mios1 registers return a 0 when accessed. 15.7 mios1 i/o ports each pin of each submodule can be used as an input, output, or i/o port: 15.8 mios bus interf ace submodule (mbism) the mios bus interface submodule (mbism) is used as an interface between the miob (modular i/o bus) and the imb3. it allows the cpu to communicate with the mios1 submodules. 15.8.1 mios bus interface (mbism) registers table 15-2 is the address map for the mbism submodule. 15.8.1.1 mios1 test and pin control register table 15-1 mios1 i/o ports submodule number type mpiosm 16 i/o mmcsm 2 i mdasm 1 i/o mpwmsm 1 i/o table 15-2 mbism address map address register 0x30 6800 mios1 test and pin control register (mios1tpcr) see table 15-3 for bit descriptions. 0x30 6802 reserved (mios1 vector register in some implementations) 0x30 6804 mios1 module version number register (mios1vnr) see table 15-4 for bit descriptions. 0x30 6806 mios1 module control register (mios1mcr) see table 15-4 for bit descriptions. 0x30 6808 ? 0x30 680e reserved mios1tpcr ? test and pin control register 0x30 6800 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 test reserved vf vfls reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-9 this register is used for mios1 factory testing and selecting between the mios1 pin functions for the mpio32b[0:3] and the developement support pin functions of vfls[0:1] and vf[0-2]. 15.8.1.2 mios1 vector register this register is used only in mcus that use vectored interrupts. the MPC555 / mpc556 does not use this register. 15.8.1.3 mios1 module and version number register this read-only register contains the hard-coded values of the module and version number. 15.8.1.4 mios1 module configurati on register table 15-3 mios1tpcr bit descriptions bit(s) name description 0 test this bit is reserved for factory testing of the mios1. the test mode is disabled by reset. 1:13 ? reserved 14 vf pin multiplex. this bit is used to determine the usage of the mios1 pins. refer to the pad-ring specification of the chip for details about the usage of this bit. this bit is set to 0 by reset. 0 = the concerned pins are dedicated to the mios1. 1 = alternate function 15 vfls pin multiplex. this bit is used to determine the usage of the mios1 pins. refer to the pad-ring specification of the chip for details about the usage of this bit. this bit is set to 0 by reset. 0 = the concerned pins are dedicated to the mios1. 1 = alternate function mios1vnr ? mios1 module/version number register 0x30 6804 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 mn vn table 15-4 mios1vnr bit descriptions bit(s) name description 0:7 mn module number = 1 on the MPC555 / mpc556. the MPC555 / mpc556 implements the mios1 module. 8:15 vn version number mios1mcr ? mios1 module configuration register 0x30 6806 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 stop 0 frz rst reserved supv reserved reserved (iarb) reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-10 15.8.2 mbism interrupt registers table 15-6 shows the mbism interrupt registers. 15.8.2.1 mios1 interrupt le vel register 0 (mios1lvl0) this register contains the interrupt level that applies to the submodules number 15 to zero. table 15-5 mios1mcr bit descriptions bit(s) name description 0stop stop enable. setting the stop bit activates the miob freeze signal regardless of the state of the imb3 freeze signal. the miob freeze signal is further validated in some submodules with internal freeze enable bits in order for the submodule to be stopped. the mbism continues to operate to allow the cpu access to the submodule?s registers. the miob freeze signal remains active until reset or until the stop bit is written to zero by the cpu (via the imb3). the stop bit is cleared by reset. 0 = enables mios1 operation. 1 = selectively stops mios1 operation. 1?reserved 2frz freeze enable. setting the frz bit, activates the miob freeze signal only when the imb3 freeze signal is active. the miob freeze signal is further validated in some submodules with internal freeze enable bits in order for the submodule to be frozen. the mbism continues to op- erate to allow the cpu access to the submodule?s registers. the miob freeze signal remains active until the frz bit is written to zero or the imb3 freeze signal is negated. the frz bit is cleared by reset. 0 = ignores the freeze signal on the imb3, allowing mios1 operation. 1 = selectively stops mios1 operation when the freeze signal appears on the imb3. 3rst module reset. the rst bit always returns 0 when read and can be written to 1. when the rst bit is written to 1, the mbism activates the reset signal on the miob. this completely stops the operation of the mios1 and resets all the values in the submodules registers that are affected by reset. this bit provides a way of resetting the complete mios1 module regardless of the reset state of the cpu. the rst bit is cleared by reset. 0 = writing a 0 to rst has no effect. 1 = reset the mios1 submodules 4:7 ? reserved 8supv supervisor data space selector. the supv bit specifies whether the address space from 0x0000 to 0x07ff in the mios1 is accessed at the supervisor privilege level. when supv is cleared, these addresses are accessed at the unrestricted privilege level. the supv bit is cleared by reset. 0 = unrestricted data space. 1 = supervisor data space. 9:15 ? reserved. in implementations that use hardware interrupt arbitration, bits 12:15 represent the iarb field. table 15-6 mbism interrupt registers address map address register 0x30 6c30 mios1 interrupt level register 0 (mios1lvl0) see table 15-7 for bit descriptions. 0x30 6c70 mios1 interrupt level register 1 (mios1lvl1) see table 15-8 for bit descriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-11 15.8.2.2 mios1 interrupt le vel register 1 (mios1lvl1) this register contains the interrupt level that applies to the submodules number 31 to 16. 15.8.3 interrupt control section (ics) the interrupt control section delivers the interrupt level to the cpu. the interrupt con- trol section adapts the characteristics of the miob request bus to the characteristics of the interrupt structure of the imb3. when at least one of the flags is set on an enabled level, the ics receives a signal from the corresponding irq pending register. this signal is the result of a logical ?or? between all the bits of the irq pending register. the signal received from the irq pending register is associated with the interrupt level register within the ics. this level is coded on five bits in this register: three bits repre- sent one of eight levels and the two other represent the four time multiplex slots. ac- mios1lvl0 ? mios1 interrupt level register 0 0x30 6c30 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved lvl tm reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-7 mios1lvl 0 bit descriptions bit(s) name description 0:4 ? reserved 5:7 lvl interrupt request level. this field represents one of eight possible levels. 8:9 tm time multiplexing. this field determines the multiplexed time slot 10:15 ? reserved mios1lvl1 ? mios1 interrupt level 1 register 0x30 6c70 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved lvl tm reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-8 mios1lvl 1 bit descriptions bit(s) name description 0:4 ? reserved 5:7 lvl interrupt request level. this field represents one of eight possible levels. 8:9 tm time multiplexing. this field determines the multiplexed time slot. 10:15 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-12 cording to this level, the ics sets the correct irq [7:0] lines with the correct ilbs[1:0] time multiplex lines on the peripheral bus. the cpu is then informed as to which of the thirty-two interrupt levels is requested. based on the interrupt level requested, the software must determine which submodule requested the interrupt. the software may use a find-first-one type of instruction to de- termine, in the concerned mirsm, which of the bits is set. the cpu can then serve the requested interrupt. 15.9 mios counter pres caler submodule (mcpsm) the mios counter prescaler submodule (mcpsm) divides the mios1 clock (f sys ) to generate the counter clock. it is designed to provide all the submodules with the same division of the main mios1 clock (division of f sys ). it uses a 4-bit modulus counter. the clock signal is prescaled by loading th e value of the clock pr escaler register into the prescaler counter every time it overflows. this allows all prescaling factors be- tween two and 16. counting is enabled by asserting the pren bit in the control regis- ter. the counter can be stopped at any time by negating this bit, thereby stopping all submodules using the output of the mcpsm (counter clock). figure 15-3 mcpsm block diagram 15.9.1 mios counter prescaler submodule (mcpsm) registers table 15-9 is the address map for the mcpsm submodule. f sys prescaler pren cp2 cp1 cp0 decrementer clock register load cp3 enable mcpsmscr 4-bit = 1? dec. counter clock f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-13 15.9.1.1 mcpsm status/c ontrol register (mcpsmcscr) this register contains status and control information for the mcpsm. 15.10 mios modulus counter submodule (mmcsm) the mmcsm is a versatile counter submodule capable of performing complex count- ing and timing functions, including modulus counting, in a wide range of applications. the mmcsm may also be configured as an event counter, allowing the overflow flag to be set after a predefined number of events (internal clocks or external events), or table 15-9 mcpsm address map address register 0x30 6810 ? 0x30 6814 reserved 0x30 6816 mcpsm status/control register (mcpsmscr) see table 15-10 for bit descriptions. mcpsmscr ? mcpsm status/control register 0x30 6816 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pren fren reserved psl reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-10 mcpsmscr bit descriptions bit(s) name description 0pren prescaler enable. this active high read/write control bit enables the mcpsm counter. the pren bit is cleared by reset. 0 = mcpsm counter disabled. 1 = mcpsm counter enabled. 1fren freeze enable. this active high read/write control bit when set make possible a freeze of the mcpsm counter if the miob freeze line is activated. note that this line is active when the mios1mcr stop bit is set or when the mios1mcr fren bit and the imb3 freeze line are set. when the mcpsm is frozen, it stops counting. then when the fren bit is reset or when the freeze condition on the miob is negated, the counter restarts from where it was before being frozen. the fren bit is cleared by reset. 0 = mcpsm counter not frozen. 1 = selectively stops mios1 operation when the freeze signal appears on the imb3. 2:11 ? reserved 12:15 psl clock prescaler. this 4-bit read/write data register stores the modulus value for loading into the clock prescaler. the new value is loaded into the counter on the next time the counter equals one or when disabled (pren bit = 0). divide ratios are as follows: 0000 = 16 0001 = no counter clock output 0010 = 2 0011 = 3 . . . 1110 = 14 1111 = 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-14 as a time reference for other submodules. note that the mmcsm can also operate as a free running counter by loading the modulus value of zero. the main components of the mmcsm are an 8-bit prescaler counter, an 8-bit prescal- er register, a 16-bit up-counter register, a 16-bit modulus latch register, counter load- ing and interrupt flag generation logic. the contents of the modulus latch register is transferred to the counter under the fol- lowing three conditions: 1. when an overflow occurs 2. when an appropriate transition occurs on the external load pin 3. when the program writes to the counter register. in this case, the value is first written into the modulus register and immediately transferred to the counter. software can also write a value to the modulus register for later loading into the counter with one of the two first criteria. a software control register selects whether the clock input to the counter is the pres- caler output or the corresponding input pin. the polarity of the external input pin is also programmable. refer to table 15-36 for the mmcsm relative i/o pin implementation. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-15 figure 15-4 mmcsm block diagram 15.10.1 mios modulus counter submodule (mmcsm) registers each of the two mmcsm submodules in the MPC555 / mpc556 includes the register set shown in table 15-11 . table 15-11 mmcsm address map address register mmcsm6 0x30 6030 mmcsm6 up-counter register (mmcsmcnt) see table 15-12 for bit descriptions. 0x30 6032 mmcsm6 modulus latch register (mmcsmml) see table 15-13 for bit descriptions. 0x30 6034 mmcsm6 status/control register duplicated (mmcsmscrd) see 15.10.1.3 mmcsm status/control register (duplicated) for bit descriptions. 0x30 6036 mmcsm6 status/control register (mmcsmscr) mmcsm22 0x30 60b0 mmcsm up-counter register (mmcsmcnt) 0x30 60b2 mmcsm modulus latch register (mmcsmml) 0x30 60b4 mmcsm status/control register duplicated (mmcsmscrd) 0x30 60b6 mmcsm status/control register (mmcsmscr) 16-bit up-counter register edge 16-bit counter bus clock input pin overflow miob detect load control 16-bit modulus latch register (mda11/mda13) edge modulus load pin detect (mda12/mda14) counter clock clock select load clock mmcsmml mmcsmcnt mmcsmscr flag 8-bit clock prescaler 8-bit prescaler modulus register clock enable request bus fren cls1 cls0 cp0 - cp7 pinc pinl edgn edgp mmcsmscr f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-16 15.10.1.1 mmcsm up-count er register (mmcsmcnt) the mmcsmcnt register contains the 16-bit value of the up counter. note that writing to mmcsmcnt simultaneously writes to mmcsmml. u = unaffected by reset 15.10.1.2 mmcsm modulus la tch register (mmcsmml) the mmcsmml is a read/write register containing the 16-bit value of the up-counter. u = unaffected by reset 15.10.1.3 mmcsm status/control register (duplicated) the mmcsmscrd and the mmcsmscr are the same registers accessed at two dif- ferent addresses. reading or writing to one of these two addresses has exactly the same effect. note the user should not write directly to the address of the mmcsm- scrd. this register?s address may be reserved for future use and should not be accessed by the so ftware to assure future software compatibility. mmcsmcnt ? mmcsm up-counter register 0x30 6030 0x30 60b0 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 cnt reset: u u u u u u u u u u u u u u u u table 15-12 mmcsmcnt bit descriptions bit(s) name description 0:15 cnt counter value. these read/write data bits represent the 16-bit value of the up-counter. cnt con- tains the value that is driven onto the 16-bit counter bus. mmcsmml ? mmcsm modulus latch register 0x30 6032 0x30 60b2 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 ml reset: u u u u u u u u u u u u u u u u table 15-13 mmcsmml bit descriptions bit(s) name description 0:15 ml modulus latches. these bits are read/write data bits containing the 16-bit modulus value to be loaded into the up-counter. the value loaded in this register must be the two?s complement of the desired modulus count. the up-counter increments from this two?s complement value up to 0xffff to get the correct number of steps before an overflow is generated to reload the modulus value into the up- counter. a value of 0x0000 should be used for a free-running counter. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-17 15.10.1.4 mmcsm status/control register (mmcsmscr) this register contains both read-only st atus bits and read/write control bits. mmcsmscrd ? mmcsm status/control register (duplicated) 0x30 6034 0x30 60b4 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pinc pinl fren edgn edgp cls ? cp reset: ? ? 0 0 0 0 0 0 u u u u u u u u mmcsmscr ? mmcsm status/control register 0x30 6036 0x30 60b6 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pinc pinl fren edgn edgp cls ? cp reset: ? ? 0 0 0 0 0 0 u u u u u u u u table 15-14 mmcsmscr bit descriptions bit(s) name description 0pinc clock input pin status. this read-only status bit reflects the logic state of the clock input pin mmcnc (mda11 or mda13). 1pinl modulus load input pin status. this read-only status bit reflects the logic state of the modulus load pin mmcnl (mda12 or mda14). 2fren freeze enable. this active high read/write control bit enables the mmcsm to recognize the miob freeze signal. 3:4 edgn, edgp modulus load falling edge/rising edge sensitivity. these active high read/write control bits set falling-edge and rising edge sensitivity, respectively, for the mmcnl pin (mda12 or mda14). 00 = disabled 01 = mmcsmcnt load on rising edges 10 = mmcsmcnt load on falling edges 11 = mmcsmcnt load on rising and falling edges 5:6 cls clock select. these read/write control bits select the clock source for the modulus counter. 00 = disabled 01 = falling edge of mmcnc (mda11 or mda13) pin 10 = rising edge of mmcnc (mda11 or mda13) pin 11 = mmcsm clock prescaler 7? ? 8:15 cp clock prescaler. this 8-bit read/write data register stores the two?s complement of the desired modulus value for loading into the built-in 8-bit clock prescaler. the new value is loaded into the prescaler counter when the next counter overflow occurs or when the cls bits are set to select the clock prescaler as the clock source. table 15-15 gives the clock divide ratio according to the cp values f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-18 15.11 mios double ac tion submodule (mdasm) the mios double action submodule (mdasm) provides two consecutive 16-bit input captures or two consecutive 16-bit output compare functions that can occur automat- ically without software intervention. the input edge detector is programmable to trigger the capture function to occur on the desired edge. the output flip-flop is set by one of the output compares and is reset by the other one. in all modes except disable mode, an optional interrupt is available to the soft ware. software selection is provided to se- lect which of the incoming 16-bit counter buses is used for the input capture or the out- put compare. the mdasm has six different software selectable modes:  disable mode  pulse width measurement  period measurement  input capture mode  single pulse generation  continuous pulse generation the mdasm has three data registers that are accessible to the software from the var- ious modes. for some of the modes, two of the registers are cascaded together to pro- vide double buffering. the value in one register is transferred to the other register automatically at the correct time so that the minimum pulse (measurement or genera- tion) is just one 16-bit counter bus count. refer to table 15-36 for the mdasm relative i/o pin implementation. table 15-15 mmcsmcr cp and mpwmsmscr cp values prescaler value (cp in hex) mios prescaler clock divided by ff 1 fe 2 fd 3 fc 4 fb 5 fa 6 f9 7 f8 8 ...... ........ 02 254 (2^8 -2) 01 255 (2^8 -1) 00 256 (2^8) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-19 figure 15-5 mdas m block diagram 15.11.1 mios double action submodule (mdasm) registers one set of registers is associated with each mdasm submodule. the base address of the particular submodule is shown in the table below. 16-bit comparator a 4 x 16-bit counter bus control register bits miob select output flip-flop i/o pin edge detect output buffer cbn+3 cbn+2 16-bit comparator b edpol cbn cbn+1 bsl1 bsl0 forca forcb flag mode3 mode2 mode1 mode0 wor pin counter buses request bus 16-bit register b2 register b 16-bit register b1 16-bit register a f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-20 table 15-16 mdasm address map address register mdasm11 0x30 6058 mdasm11 data a register (mdasmar) see 15.11.1.1 mdasm data a register for bit descriptions. 0x30 605a mdasm11 data b register (mdasmbr) see 15.11.1.2 mdasm data b register (mdasmbr) for bit descrip- tions. 0x30 605c mdasm11 status/control register duplicated (mdasmscrd) see 15.11.1.3 mdasm status/control register (duplicated) for bit descriptions. 0x30 605e mdasm11 status/control register (mdasmscr) see table 15-17 for bit descriptions. mdasm12 0x30 6060 mdasm12 data a register (mdasmar) 0x30 6062 mdasm12 data b register (mdasmbr) 0x30 6064 mdasm12 status/control register duplicated (mdasmscrd) 0x30 6066 mdasm12 status/control register (mdasmscr) mdasm13 0x30 6068 mdasm13 data a register (mdasmar) 0x30 606a mdasm13 data b register (mdasmbr) 0x30 606c mdasm13 status/control register duplicated (mdasmscrd) 0x30 606e mdasm13 status/control register (mdasmscr) mdasm14 0x30 6070 mdasm14 data a register (mdasmar) 0x30 6072 mdasm14 data b register (mdasmbr) 0x30 6074 mdasm14 status/control register duplicated (mdasmscrd) 0x30 6076 mdasm14 status/control register (mdasmscr) mdasm15 0x30 6078 mdasm15 data a register (mdasmar) 0x30 607a mdasm15 data b register (mdasmbr) 0x30 607c mdasm15 status/control register duplicated (mdasmscrd) 0x30 607e mdasm15 status/control register (mdasmscr) mdasm27 0x30 60d8 mdasm27 data a register (mdasmar) 0x30 60da mdasm27 data b register (mdasmbr) 0x30 60dc mdasm27 status/control register duplicated (mdasmscrd) 0x30 60de mdasm27 status/control register (mdasmscr) mdasm28 0x30 60e0 mdasm28 data a register (mdasmar) 0x30 60e2 mdasm28 data b register (mdasmbr) 0x30 60e4 mdasm28 status/control register duplicated (mdasmscrd) 0x30 60e6 mdasm28 status/control register (mdasmscr) mdasm29 0x30 60e8 mdasm29 data a register (mdasmar) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-21 15.11.1.1 mdasm data a register mdasmar is the data register associated with channel a. its use varies with the mode of operation:  in the dis mode, mdasmar can be accessed to prepare a value for a subse- quent mode selection  in the ipwm mode, mdasmar contains the captured value corresponding to the trailing edge of the measured pulse  in the ipm and ic modes, mdasmar contains the captured value corresponding to the most recently detected dedicated edge (rising or falling edge)  in the ocb and ocab modes, mdasmar is loaded with the value corresponding to the leading edge of the pulse to be generated. writing to mdasmar in the ocb and ocab modes also enables the corresponding channel a comparator until the next successful comparison.  in the opwm mode, mdasmar is loaded with the value corresponding to the leading edge of the pwm pulse to be generated * refer to table 15-16 for a complete list of all the base addresses for the mdasm registers. 15.11.1.2 mdasm data b register (mdasmbr) mdasmbr is the data register associated with channel b. its use varies with the mode of operation. depending on the mode selected, software access is to register b1 or register b2. 0x30 60ea mdasm29 data b register (mdasmbr) 0x30 60ec mdasm29 status/control register duplicated (mdasmscrd) 0x30 60ee mdasm29 status/control register (mdasmscr) mdasm30 0x30 60f0 mdasm30 data a register (mdasmar) 0x30 60f2 mdasm30 data b register (mdasmbr) 0x30 60f4 mdasm30 status/control register duplicated (mdasmscrd) 0x30 60f6 mdasm30 status/control register (mdasmscr) mdasm31 0x30 60f8 mdasm31 data a register (mdasmar) 0x30 60fa mdasm31 data b register (mdasmbr) 0x30 60fc mdasm31 status/control register duplicated (mdasmscrd) 0x30 60fe mdasm31 status/control register (mdasmscr) mdasmar ? mdasm data a register 0x30 6058* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 ar reset: u u u u u u u u u u u u u u u u table 15-16 mdasm addr ess map (continued) address register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-22  in the dis mode, mdasmbr can be accessed to prepare a value for a subse- quent mode selection. in this mode, register b1 is accessed in order to prepare a value for the opwm mode. unused register b2 is hidden and cannot be read, but is written with the same value when register b1 is written.  in the ipwm mode, mdasmbr contains the captured value corresponding to the leading edge of the measured pulse. in this mode, register b2 is accessed; buffer register b1 is hidden and cannot be accessed.  in the ipm and ic modes, mdasmbr contains the captured value corresponding to the most recently detected period edge (rising or falling edge). in this mode, register b2 is accessed; buffer register b1 is hidden and cannot be accessed.  in the ocb and ocab modes, mdasmbr is loaded with the value corresponding to the trailing edge of the pulse to be generated. writing to mdasmbr in the ocb and ocab modes also enables the corresponding channel b comparator until the next successful comparison. in this mode, register b2 is accessed; buffer register b1 is hidden and cannot be accessed.  in the opwm mode, mdasmbr is loaded with the value corresponding to the trailing edge of the pwm pulse to be generated. in this mode, register b1 is ac- cessed; buffer register b2 is hidden and cannot be accessed. * refer to table 15-16 for a complete list of all the base addresses for the mdasm registers. 15.11.1.3 mdasm status/control register (duplicated) the mdasmscrd and the mdasmscr are the same registers accessed at two dif- ferent addresses. reading or writing to either of these two addresses has exactly the same effect. note the user should not write directly to the address of the mdasm- scrd. this register?s address may be reserved for future use and should not be accessed by the so ftware to assure future software compatibility. * refer to table 15-16 for a complete list of all the base addresses for the mdasm registers. mdasmbr ? mdasm data b register 0x30 605a* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 br reset: u u u u u u u u u u u u u u u u mdasmscrd ? mdasm status/control register (duplicated) 0x30 605c* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pin wor fren 0 ed- pol forc a forc b reserved bsl 0 mod reset: ? 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-23 15.11.1.4 mdasm status/control register the status/control register contains a read-only bit reflecting the status of the mdasm pin as well as read/write bits related to its control and configuration. * refer to table 15-16 for a complete list of all the base addresses for the mdasm registers. mdasmscr ? mdasm status/control register 0x30 605e* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pin wor fren 0 ed- pol forc a forc b reserved bsl 0 mod reset: ? 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-17 mdasmscr bit descriptions bit(s) name description 0 pin pin input status. the pin input status bit reflects the status of the corresponding pin. 1wor wired-or. in the dis, ipwm, ipm and ic modes, the wor bit is not used; reading this bit re- turns the value that was previously written. in the ocb, ocab and opwm modes, the wor bit selects whether the output buffer is configured for open-drain or totem-pole operation. 0 = output buffer is totem-pole. 1 = output buffer is open-drain. 2fren freeze enable. this active high read/write control bit enables the mdasm to recognize the miob freeze signal. 0 = the mdasm is not frozen even if the miob freeze line is active. 1 = the mdasm is frozen if the miob freeze line is active. 3?0 4edpol polarity. in the dis mode, this bit is not used; reading it returns the last value written. in the ipwm mode, this bit is used to select the capture edge sensitivity of channels a and b. 0 = channel a captures on a rising edge. channel b captures on a falling edge. 1 = channel a captures on a falling edge. channel b captures on a rising edge. in the ipm and ic modes, the edpol bit is used to select the input capture edge sensitivity of channel a. 0 = channel a captures on a rising edge. 1 = channel a captures on a falling edge. in the ocb, ocab and opwm modes, the edpol bit is used to select the voltage level on the output pin. 0 = the output flip-flop logic level appears on the output pin: a compare on channel a sets the output pin, a compare on channel b resets the output pin. 1 = the complement of the output flip-flop logic level appears on the output pin: a compare on channel a resets the output pin; a compare on channel b sets the output pin. 5forca force a. in the ocb, ocab and opwm modes, the forca bit allows the software to force the output flip-flop to behave as if a successful comparison had occurred on channel a (except that the flag line is not activated). writing a one to forca sets the output flip-flop; writing a zero to it has no effect. in the dis, ipwm, ipm and ic modes, the forca bit is not used and writing to it has no effect. forca is cleared by reset and is always read as zero. writing a one to both forca and forcb simultaneously resets the output flip-flop. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-24 6forcb force b. in the ocb, ocab and opwm modes, the forcb bit allows the software to force the output flip-flop to behave as if a successful comparison had occurred on channel b (except that the flag line is not activated). writing a one to forcb resets the output flip-flop; writing a zero to it has no effect. in the dis, ipwm, ipm and ic modes, the forcb bit is not used and writing to it has no effect. forcb is cleared by reset and is always read as zero. writing a one to both forca and forcb simultaneously resets the output flip-flop. 7:8 ? reserved 9:10 bsl bus select.these bits are used to select which of the four possible 16-bit counter bus passing nearby is used by the mdasm. refer to table 15-36 to see how the mdasm is connected to the 16-bit counter buses in the mios1. note : in the MPC555 / mpc556, only 0b00 (cb6) and 0b01 (cb22) are implemented. 11 ? 0 12:15 mod mode select. these four mode select bits select the mode of operation of the mdasm. to avoid spurious interrupts, it is recommended that mdasm interrupts are disabled before changing the operating mode. it is also imperative to go through the disable mode before changing the oper- ating mode. see table 15-18 for details. table 15-18 mdasm mode selects mdasm control register bits bits of resolution counter bus bits ignored mdasm mode of operation mod 0000 ? ? dis ? disabled 0001 16 ? ipwm ? input pulse width measurement 0010 16 ? ipm ? input period measurement 0011 16 ? ic ? input capture 0100 16 ? ocb ? output compare, flag on b compare 0101 16 ? ocab ? output compare, flag on a and b compare 0110 ? ? reserved 0111 ? ? reserved 1000 16 ? opwm ? output pulse width modulation 1001 15 0 opwm ? output pulse width modulation 1010 14 0,1 opwm ? output pulse width modulation 1011 13 0-2 opwm ? output pulse width modulation 1100 12 0-3 opwm ? output pulse width modulation 1101 11 0-4 opwm ? output pulse width modulation 1110 9 0-6 opwm ? output pulse width modulation 1111 7 0-8 opwm ? output pulse width modulation table 15-17 mdasmscr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-25 15.12 mios pulse width m odulation submodule (mpwmsm) the purpose of the mios pulse width modulation submodule (mpwmsm) is to create a variable pulse width output signal at a wide range of frequencies, independent of oth- er mios1 output signals. the mpwmsm includes its own 8-bit prescaler and counter and, thus, does not use the mios1 16-bit counter buses. the mpwmsm pulse width can vary from 0.0% to 100.0%, with up to 16 bits of reso- lution. the finest output resolution is the mcu imb clock time divided by two (for a f sys of 40.0 mhz, the finest output pulse width resolution is 50 ns). with the full six- teen bits of resolution and the overall prescaler divide ratio varying from divide-by-2 to divide-by-4096, the period of the pwm output can range from 3.28 ms to 6.7 s (assum- ing a f sys of 40 mhz). by reducing the counting value, the output signal period can be reduced. the period can be as fast as 205 s (4.882 khz) with twelve bits of resolu- tion, as fast as 12.8 s (78.125 khz) with eight bits of resolution and as fast as 3.2 s (312.500 khz) with six bits of resolution (still assuming a f sys of 40 mhz and a first stage prescaler divide-by-2 clock selection). refer to table 15-36 for the mpwmsm relative i/o pin implementation. figure 15-6 mpwm sm block diagram 16-bit down counter 8- bit prescaler ps0 - ps7 output miob buffer (ncount) = 0x0001 pol next period register mpwma 16-bit pulse width register next pulse width register mpwmb1 pwmb2 output flip-flop pin trsp en counter output pin mpwmc pwmb request bus <= comparator load fren clock flag en ddr output logic f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-26 15.12.1 mios pulse width modula tion submodule (mpwm sm) registers one set of registers is associated with each mpwmsm submodule. the base address is given in the table below. table 15-19 mpwmsm address map address register mpwmsm0 0x30 6000 mpwmsm0 period register (mpwmsmperr) see table 15-20 for bit descriptions. 0x30 6002 mpwmsm0 pulse register (mpwmsmpulr) see table 15-21 for bit descriptions. 0x30 6004 mpwmsm0 count register (mpwmsmcntr) see table 15-22 for bit descriptions. 0x30 6006 mpwmsm0 status/control register (mpwmsmscr) see table 15-23 for bit descriptions. mpwmsm1 0x30 6008 mpwmsm1 period register (mpwmsmperr) 0x30 600a mpwmsm1 pulse register (mpwmsmpulr) 0x30 600c mpwmsm1 count register (mpwmsmcntr) 0x30 600e mpwmsm1 status/control register (mpwmsmscr) mpwmsm2 0x30 6010 mpwmsm2 period register (mpwmsmperr) 0x30 6012 mpwmsm2 pulse register (mpwmsmpulr) 0x30 6014 mpwmsm2 count register (mpwmsmcntr) 0x30 6016 mpwmsm2 status/control register (mpwmsmscr) mpwmsm3 0x30 6018 mpwmsm3 period register (mpwmsmperr) 0x30 601a mpwmsm3 pulse register (mpwmsmpulr) 0x30 601c mpwmsm3 count register (mpwmsmcntr) 0x30 601e mpwmsm3 status/control register (mpwmsmscr) mpwmsm16 0x30 6080 mpwmsm16 period register (mpwmsmperr) 0x30 6082 mpwmsm16 pulse register (mpwmsmpulr) 0x30 6084 mpwmsm16 count register (mpwmsmcntr) 0x30 6086 mpwmsm16 status/control register (mpwmsmscr) mpwmsm17 0x30 6088 mpwmsm17 period register (mpwmsmperr) 0x30 608a mpwmsm17 pulse register (mpwmsmpulr) 0x30 608c mpwmsm17 count register (mpwmsmcntr) 0x30 608e mpwmsm17 status/control register (mpwmsmscr) mpwmsm18 0x30 6090 mpwmsm18 period register (mpwmsmperr) 0x30 6092 mpwmsm18 pulse register (mpwmsmpulr) 0x30 6094 mpwmsm18 count register (mpwmsmcntr) 0x30 6096 mpwmsm18 status/control register (mpwmsmscr) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-27 15.12.1.1 mpwmsm period register (mpwmsmperr) the period register contains the binary value corresponding to the period to be gener- ated. * refer to table 15-19 for a complete list of all the base addresses for the mpwmsm registers. 15.12.1.2 mpwmsm pulse width register (mpwmsmpulr) this register contains the binary value of the pulse width to be generated. * refer to table 15-19 for a complete list of all the base addresses for the mpwmsm registers. mpwmsm19 0x30 6098 mpwmsm19 period register (mpwmsmperr) 0x30 609a mpwmsm19 pulse register (mpwmsmpulr) 0x30 609c mpwmsm19 count register (mpwmsmcntr) 0x30 609e mpwmsm19 status/control register (mpwmsmscr) mpwmsmperr ? mpwmsm period register 0x30 6000* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 per reset: u u u u u u u u u u u u u u u u table 15-20 mpwmsmpe rr bit descriptions bit(s) name description 0:15 per period. these bits contain the binary value corresponding to the period to be generated. mpwmsmpulr ? mpwmsm pulse width register 0x30 6002* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pul reset: u u u u u u u u u u u u u u u u table 15-21 mpwmsmpulr bit descriptions bit(s) name description 0:15 pul pulse width. these bits contain the binary value of the pulse width to be generated. table 15-19 mpwmsm a ddress map (continued) address register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-28 15.12.1.3 mpwmsm counter register (mpwmsmcntr) this register reflects the actual value of the mpwmsm counter. * refer to table 15-19 for a complete list of all the base addresses for the mpwmsm registers. a write to the mpwmsmcntr register also writes the same value to mpwmsmperr. 15.12.1.4 mpwmsm status/control register(mpwmsmcr) this register contains read-only status bits and read/write control bits. * refer to table 15-19 for a complete list of all the base addresses for the mpwmsm registers. mpwmsmcntr ? mpwmsm counter register 0x30 6004* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 cnt reset: u u u u u u u u u u u u u u u u table 15-22 mpwmsmcntr bit descriptions bit(s) name description 0:15 cnt counter. these bits reflect the actual value of the mpwmsm counter. mpwmsmscr ? mpwmsm status/control register 0x30 6006* msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 pin ddr fren trsp pol en reserved cp reset: ? 0 0 0 0 0 0 0 u u u u u u u u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-29 table 15-23 mpwmsmscr bit descriptions bit(s) name description 0pin pin input status. the pin bit reflects the state present on the mpwmsm pin. the software can thus monitor the signal on the pin. the pin bit is a read-only bit. writing to the pin bit has no effect. 1ddr data direction register. the ddr bit indicates the direction for the pin when the pwm function is not used (disable mode). note that when the pwm function is used, the ddr bit has no effect. table 15-24 lists the different uses for the polarity (pol) bit, the enable (en) bit and the data direction register (ddr) bit. 0 = pin is an input. 1 = pin is an output. 2fren freeze enable. this active high read/write control bit enables the mpwmsm to recognize the freeze signal on the miob. 0 = mpwmsm not frozen even if the miob freeze line is active. 1 = mpwmsm frozen if the miob freeze line is active. 3trsp transparent mode. the trsp bit indicates that the mpwmsm double buffers are transparent: when the software writes to either the mpwma or mpwmb1 register the value written is imme- diately transferred to respectively the counter or register mpwmb2. 0 = transparent mode de-activated. 1 = transparent mode activated. 4pol output polarity control. the pol bit works in conjunction with the en bit and controls whether the mpwmsm drives the pin with the true or the inverted value of the output flip-flop table 15-24 lists the different uses for the polarity (pol) bit, the enable (en) bit and the data direction register (ddr) bit. 5en enable pwm signal generation. the en bit defines whether the mpwmsm generates a pwm signal or is used as an i/o channel: table 15-24 lists the different uses for the polarity (pol) bit, the enable (en) bit and the data direction register (ddr) bit. 0 = pwm generation disabled (pin can be used as i/o). 1 = pwm generation enabled (pin is output only). 6:7 ? reserved 8:15 cp clock prescaler. this 8-bit read/write register stores the two?s complement of the desired mod- ulus value for loading into the built-in 8-bit clock prescaler. the value loaded defines the divide ratio for the signal that clocks the mpwmsm period counter. table 15-15 gives the clock divide ratio according to the cp values. table 15-24 pwmsm output pin polarity selection control bits pin direction (i/o) pin state periodic edge variable edge optional interrupt on pol en ddr 0 0 0 i input ? ? ? 001 o always low ? ? ? 0 1 ? o high pulse falling edge rising edge falling edge 1 0 0 i input ? ? ? 1 0 1 o always high ? ? ? 1 1 ? o low pulse rising edge falling edge rising edge f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-30 15.13 mios 16-bit parallel port i/o submodule (mpiosm) an mios 16-bit parallel port i/o submodule (mpiosm) can handle up to 16 input/out- put pins. its control register is composed of two 16-bit registers: the data register (dr) and the data direction register (ddr). each pin of the mpiosm may be programmed as an input or an output under software control. the direction of a pin is determined by the state of the corresponding bit in the ddr. figure 15-7 mpiosm one-bit block diagram refer to table 15-36 for the mpiosm relative i/o pin implementation. 15.13.1 mios 16-bit parallel port i/o submodule (mpiosm) registers one set of registers is associated with the mpiosm submodule. the base addresses of the submodules are given in the table below. 15.13.1.1 mpiosm data register (mpiosmdr) this read/write register defines the value to be driven to the pad in output mode, for each implemented i/o pin of the mpiosm. table 15-25 mpiosm address map address register 0x30 6100 mpiosm data register (mpiosmdr) see table 15-26 for bit descriptions. 0x30 6102 mpiosm data direction register (mpiosmddr) see table 15-27 for bit descriptions. 0x30 6104 reserved 0x30 6106 reserved i/o pin data register data register output input driver direction miob f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-31 note d[0:4] controls the signals mpio32b[0:4]. these functions are shared on the MPC555 / mpc556 pins vf[0:2]/mpio32b[0:2] vfls[0:1]/mpio32b[3:4] and can be configured as the alternate function (vf[0:2] and vfls[0:1]). see 15.8.1.1 mios1 test and pin control register . 15.13.1.2 mpiosm data direction register (mpiosmddr) this read/write register defines the data direction for each implemented i/o pin of the mpiosm. 15.14 mios1 interrupts the mios1 and its submodules are capable of generating interrupts to be transmitted to the cpu via the imb3. inside the mios1, all the information required for requesting and servicing the interrupts are treated in two different blocks:  the interrupt cont rol section (ics) mpiosmdr ? mpiosm data register 0x30 6100 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 d15 d14 d13 d12 d11 d10 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 reset: u u u u u u u u u u u u u u u u table 15-26 mpiosmdr bit descriptions bit(s) name description 0:15 d[15:0] data bits. these bits are read/write data bits that define the value to be driven to the pad in output mode for each implemented i/o pin of the mpiosm. while in output mode, a read returns the value of the pad. note that, when little-endian bit ordering is used, bit 0 corresponds to d15 and bit 15 corresponds to d0. mpiosmddr ? mpiosm data direction register 0x30 6102 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 ddr1 ddr1 ddr1 ddr1 ddr1 ddr10 ddr9 ddr8 ddr7 ddr6 ddr5 ddr4 ddr3 ddr2 ddr1 ddr0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-27 mpiosmdd r bit descriptions bit(s) name description 0:15 ddr[15:0] data direction. these bits are read/write data bits that define the data direction status for each implemented i/o pin of the mpiosm. note that, when little-endian bit ordering is used, bit 0 cor- responds to d15 and bit 15 corresponds to d0. 0 = corresponding pin is input. 1 = corresponding pin is output. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-32  the mios interrupt request submodules (mirsm). the mios interrupt request submodule gathers service request flags from each group of up to 16 submodules and transfers those requests to the mios1 interrupt control section (ics). figure 15-8 shows a block diagram of the interrupt architecture. figure 15-8 mios interrupt structure 15.14.1 mios interrupt request submodule (mirsm) each submodule that is capable of generating an interrupt can assert a flag line when an event occurs. in the mios1 configuration, there are eighteen flag lines and two mirsms are needed. submodule 13 imb3 mbism status register enable register irq pend. register rqsm #0 interrupt control section level n (flags) rqsm #1 submodule 2 submodules 16 to 23 irq level register request acknowledge f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-33 within the mios1, each mirsm includes:  one 16-bit status register (for the flags)  one 16-bit enable register  one 16-bit irq pending register one bit position in each of the above registers is associated with one submodule. note that if a submodule in a group of 16 cannot generate interrupts, then its corresponding flag bit in the status register is inactive and reads as zero. when an event occurs in a submodule that activates a flag line, the corresponding flag bit in the status register is set. the status register is read/write, but a flag bit can be reset only if it has previously been read as a one. writing a one to a flag bit has no effect. when the software intends to clear only one flag bit within a status register, the software must write an 16-bit value of all ones except for a zero in the bit position to be cleared. the enable register is initialized by the software to indicate whether each interrupt re- quest is enabled for the level defined in the ics. each bit in the irq pending register is the result of a logical ?and? between the corre- sponding bits in the status and in the enable registers. if a flag bit is set and the level enable bit is also set, then the irq pending bit is set and the information is transferred to the interrupt control section that is in charge of sending the corresponding level to the cpu. the irq pending register is read only. note when the enable bit is not set for a particular submodule, the corre- sponding status register bit is still set when the corresponding flag is set. this allows the traditional software approach of polling the flag bits to see which ones are set. the status register makes flag polling easy, since up to sixteen flag bits are contained in one register. the submodule number of an interrupting source defines the corresponding mirsm number and the bit position in the status registers. to find the mirsm number and bit position of an interrupting source, divide the interrupting submodule number by 16. the integer result of the division gives the mirsm number. the remainder of the divi- sion gives the bit position. refer to 15.14.2 mios interrupt request submodule 0 (mirsm0) registers and to 15.14.3 mios interrupt request submodule 1 (mirsm1) registers for details about the registers in the mirsm. 15.14.2 mios interrupt request submodule 0 (mirsm0) registers table 15-28 shows the registers associated with the mirsm0 submodule. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-34 15.14.2.1 mirsm0 interrupt status register (mios1sr0) this register contains flag bits that are set when the associated submodule generates an interrupt. each bit corresponds to a submodule. when an event occurs in a submodule that activates a flag line, the corresponding flag bit in the status register is set. the status register is read/write, but a flag bit can be reset only if it has previously been read as a one. writing a one to a flag bit has no effect. when the software intends to clear only one flag bit within a status register, the software must write an 16-bit value of all ones except for a zero in the bit position to be cleared. table 15-28 mirs m0 address map address register 0x30 6c00 mirsm0 interrupt status register (mios1sr0) see table 15-29 for bit descriptions. 0x30 6c02 reserved 0x30 6c04 mirsm0 interrupt enable register (mios1er0) see table 15-30 for bit descriptions. 0x30 6c06 mirsm0 request pending register (mios1rpr0) see table 15-31 for bit descriptions. mios1sr0 ? rqsm0 interrupt status register 0x30 6c00 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 flg15 flg14 flg13 flg12 flg11 reserved flg6 reserved flg3 flg2 flg1 flg0 reset: u u u u u 0 0 0 0 u u u u u u u table 15-29 mios1sr0 bit descriptions bit(s) name description 0 flg15 mdasm15 flag bit 1 flg14 mdasm14 flag bit 2 flg13 mdasm13 flag bit 3 flg12 mdasm12 flag bit 4 flg11 mdasm11 flag bit 5:8 ? reserved 9 flg6 mmcsm6 flag bit 10:11 ? reserved 12 flg3 mpwmsm3 flag bit 13 flg2 mpwmsm2 flag bit 14 flg1 mpwmsm1 flag bit 15 flg0 mpwmsm0 flag bit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-35 15.14.2.2 mirsm0 interrupt enable register (mios1er0) this read/write register contains interrupt enable bits. each bit corresponds to a sub- module. 15.14.2.3 mirsm0 request pending register (mios1rpr0) this read-only register contains interrupt pending bits. each bit corresponds to a sub- module. a bit that is set indicates that the associated submodule set its flag and that the corresponding enable bit was set. mios1er0 ? mirsm0 interrupt enable register 0x30 6c04 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 en15 en14 en13 en12 en11 reserved en6 reserved en3 en2 en1 en0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-30 mios1er0 bit descriptions bit(s) name description 0 en15 mdasm15 interrupt enable bit 1 en14 mdasm14 interrupt enable bit 2 en13 mdasm13 interrupt enable bit 3 en12 mdasm12 interrupt enable bit 4 en11 mdasm11 interrupt enable bit 5:8 ? reserved 9 en6 mmcsm6 interrupt enable bit 10:11 ? reserved 12 en3 mpwmsm3 interrupt enable bit 13 en2 mpwmsm2 interrupt enable bit 14 en1 mpwmsm1 interrupt enable bit 15 en0 mpwmsm0 interrupt enable bit mios1rpr0 ? mirsm0 request pending register 0x30 6c06 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 irp15 irp14 irp13 irp12 irp11 reserved irp6 reserved irp3 irp2 irp1 irp0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-36 15.14.3 mios interrupt request submodule 1 (mirsm1) registers table 15-32 shows the base addresses of the registers associated with the mirsm1 submodule. 15.14.3.1 mirsm1 interrupt status register (mios1sr1) this register contains flag bits that are set when the associated submodule generates an interrupt. each bit corresponds to a submodule. table 15-31 mios1rpr 0 bit descriptions bit(s) name description 0 irp15 mdasm15 irq pending bit 1 irp14 mdasm14 irq pending bit 2 irp13 mdasm13 irq pending bit 3 irp12 mdasm12 irq pending bit 4 irp11 mdasm11 irq pending bit 5:8 ? reserved 9 irp6 mmcsm6 irq pending bit 10:11 ? reserved 12 irp3 mpwmsm3 irq pending bit 13 irp2 mpwmsm2 irq pending bit 14 irp1 mpwmsm1 irq pending bit 15 irp0 mpwmsm0 irq pending bit table 15-32 mirs m1 address map address register 0x30 6c40 mirsm1 interrupt status register (mios1sr1) see table 15-33 for bit descriptions. 0x30 6c42 reserved 0x30 6c44 mirsm1 interrupt enable register (mios1er1) see table 15-34 for bit descriptions. 0x30 6c46 mirsm1 request pending register (mios1pr1) see table 15-35 for bit descriptions. mios1sr1 ? mirsm1 interrupt status register 0x30 6c40 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 flg31 flg30 flg29 flg28 flg27 reserved flg22 reserved flg19 flg18 flg17 flg16 reset: u u u u u 0 0 0 0 u 0 0 u u u u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-37 15.14.3.2 mirsm1 interrupt enable register (mios1er1) this read/write register contains interrupt enable bits. each bit corresponds to a sub- module. 15.14.3.3 mirsm1 request pending register (mios1rpr1) this read-only register contains interrupt pending bits. each bit corresponds to a sub- module. a bit that is set indicates that the associated submodule set its flag and that the corresponding enable bit was set. table 15-33 mios1sr1 bit descriptions bit(s) name description 0 flg31 mdasm31 flag bit 1 flg30 mdasm30 flag bit 2 flg29 mdasm29 flag bit 3 flg28 mdasm28 flag bit 4 flg27 mdasm27 flag bit 5:8 ? reserved 9 flg22 mmcsm22 flag bit 10:11 ? reserved 12 flg19 mpwmsm19 flag bit 13 flg18 mpwmsm18 flag bit 14 flg17 mpwmsm17 flag bit 15 flg16 mpwmsm16 flag bit mios1er1 ? interrupt enable register 0x30 6c44 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 en31 en30 en129 en28 en27 reserved en22 reserved en19 en18 en17 en16 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-34 mios1er1 bit descriptions bit(s) name description 0 en31 mdasm31 interrupt enable bit 1 en30 mdasm30 interrupt enable bit 2 en29 mdasm29 interrupt enable bit 3 en28 mdasm28 interrupt enable bit 4 en27 mdasm27 interrupt enable bit 5:8 ? reserved 9 en22 mmcsm22 interrupt enable bit 10:11 ? reserved 12 en19 mpwmsm19 interrupt enable bit 13 en18 mpwmsm18 interrupt enable bit 14 en17 mpwmsm17 interrupt enable bit 15 en16 mpwmsm16 interrupt enable bit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-38 15.15 mios1 function examples the versatility of the mios1 timer architecture is based on multiple counters and cap- ture/compare channel units interconnected on 16-bit counter buses. this section in- cludes some typical application examples to show how the submodules can be interconnected to form timing functions. the diagrams used to illustrate these exam- ples show only the blocks utilized for that function. to illustrate the timing range of the mios1 in different applications, many of the follow- ing paragraphs include time intervals quoted in microseconds and seconds. the as- sumptions used are that f sys is at 40 mhz with minimum overall prescaling (50 ns cycle) and with the maximum overall prescaling (32 s cycle). for other f sys clock cy- cle rates and prescaler choices, the times mentioned in these paragraphs scale appro- priately. 15.15.1 mios1 input double e dge pulse width measurement to measure the width of an input pulse, the mios double action submodule (mdasm) has two capture registers so that only one interrupt is needed after the second edge. the software can read both edge samples and subtract them to get the pulse width. the leading edge sample is double latched so that the software has the time of one full period of the input signal to read the samples to be sure that nothing is lost. de- pending on the prescaler divide ratio, pulse width from 50 ns to 6.7 s can be mea- sured. note that a software option is provided to also generate an interrupt after the first edge. mios1rpr1 ? mirsm1 request pending register 0x30 6c46 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 irp31 irp30 irp29 irp28 irp27 reserved irp22 reserved irp19 irp18 irp17 irp16 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 15-35 mios1rpr 1 bit descriptions bit(s) name description 0 irp31 mdasm31 irq pending bit 1 irp30 mdasm30 irq pending bit 2 irp29 mdasm29 irq pending bit 3 irp28 mdasm28 irq pending bit 4 irp27 mdasm27 irq pending bit 5:8 ? reserved 9 irp22 mmcsm22 irq pending bit 10:11 ? reserved 12 irp19 mpwmsm19 irq pending bit 13 irp18 mpwmsm18 irq pending bit 14 irp17 mpwmsm17 irq pending bit 15 irp16 mpwmsm16 irq pending bit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-39 in the example shown in figure 15-9 , a counter submodule is used as the time-base for a mdasm configured in the input pulse width measurement mode. when the lead- ing edge (programmed for being either rising or falling) of the input signal occurs, the state of the 16-bit counter bus is saved in register b1. when the trailing edge occurs, the 16-bit counter bus is latched into register a and the content of register b1 is trans- ferred to register b2. this operation leaves register b1 free for the next leading edge to occur on the next clock cycle. when enabled, an interrupt is provided after the trail- ing edge, to notify the software that pulse width measurement data is available for a new pulse. after the trailing edge, the so ftware has one cycle time of the input signal to obtain the values for each edge. when software attention is not needed for every pulse, the interrupt can be disabled. the software can read registers a and b2 coher- ently (using a 32-bit read instruction) at any time, to get the latest edge measurements. the software work is less than half that needed with a timer that requires the software to read one edge and save the value and then wait for the second edge. figure 15-9 mios1 example: doubl e capture pulse width measurement 16-bit up-counter submodule bus clock select 16-bit register b1 edge detect input pin 16-bit register a input capture interrupt on from prescaler or pin trailing bus select edge two 16-bit counter buses mios double action submodule in ipwm mode (mod3-mod0 = 0b0001) mios modulus counter submodule 16-bit register b2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-40 15.15.2 mios1 input double edge period measurement two samples are available to the software from an mios double action submodule for period measurement. the software can read the previous and the current edge sam- ples and subtract them. as with pulse width measurement, the software can be sure not to miss samples by ensuring that the interr upt response time is faster than the fast- est input period. alternately, when the software is just interested in the latest period measurement, one 32-bit coherent read instruction can get both the current and the previous samples. depending on the prescaler divide ratio, period times can be mea- sured from 50 ns to 6.7 s. figure 15-10 shows a counter submodule and a dasm combination as an example of period measurement. the software designates whether the rising or falling edge of the input signal is to be used for the measurements. when the edge is detected, the state of the 16-bit counter bus is stored in register a and the content of register b1 is transferred to register b2. after register b2 is safely latched, the content of register a is transferred to register b1. this procedu re gives the software coherent current and previous samples in registers a and b2 at all times. an interrupt is available for the cas- es where the software needs to be aware of each new sample. note that a software option is provided to also genera te an interrupt after the first edge. figure 15-10 mios1 ex ample: double capture period measurement 16-bit up-counter submodule bus clock select 16-bit register b1 edge detect input pin 16-bit register b2 input capture interrupt on from prescaler or pin designated bus select edge 16-bit register a two 16-bit counter buses mios double action submodule in ipm mode (mod3-mod0 = 0b0010) mios modulus counter submodule f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-41 15.15.3 mios1 double edge si ngle output pulse generation software can initialize the mios1 to generate both the rising and the falling edge of an output pulse. with a mdasm, pulses as narrow as 50 ns can be generated since soft- ware action is not needed between the edges. pulses as long as 2.1 s can be gener- ated. when an interrupt is desired, it can be selected to occur on every edge or only after the second edge. figure 15-11 shows how a counter submodule and a mdasm can be used to gener- ate both edges of a single output pulse. the software puts the compare value for one edge in register a and the other one in register b2. the mdasm automatically creates both edges and the pulse can be selected by software to be a high-going or a low-go- ing. after the trailing edge, the mdasm stop s to await further commands from the soft- ware. note that a single edge output can be generated by writing to only one register. figure 15-11 mios1 example: double edge output compare 16-bit up-counter submodule bus clock select 16-bit compare b output flip-flop output pin 16-bit compare a 16-bit register b2 16-bit register a output compare interrupt on from prescaler or pin trailing bus select edge two 16-bit counter buses mios double action submodule in ocb mode (mod3 - mod0 = 0b0100) mios modulus counter submodule f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-42 15.15.4 mios1 output pulse width modulation with mdasm output waveforms can be generated with an y duty cycle without software involvement. the software sets up a mdasm with the compare times for the rising and falling edges and they are automatically repeated. the software does not need to respond to inter- rupts to generate continuous pulses. the frequency may be selected as the frequency of a free-running counter time-base, times a binary multiplier selected in the mdasm. multiple pwm outputs can be created from multiple mdasms and share one counter submodule, provided that the frequencies of all of the output signals are a binary mul- tiple of the time-base and that the counter submodule is operating in a free-running mode. each mdasm has a software selectable ?don?t care? on high-order bits of the time-base comparison so that the frequency of one output can be a binary multiple of another signal. masking the time-base serves to multiply the frequency of the time- base by a binary number to form the frequency of the output waveform. the duty cycle can vary from one cycle to 64-kbyte cycles. the frequency can range from 0.48 hz to 156 khz, though the resolution decreases at the higher frequencies to as low as seven bits. the generation of output square wave signals is of course the special case where the high and low times are equal. when an mmcsm is used to drive the time-base, the modulus value is the period of the output pwm signal. figure 15-12 shows such an example. the polarity of the leading edge of an output waveform is programmable for a rising or a falling edge. the software selects the period of the output signal by programming the mmcsm with a modulus value. the leading edge compare value is written into register a by software and the trailing edge time is written into register b1. when the leading edge value is reached, the content of register b1 is transferred to register b2, to form the next trailing edge value. subsequent changes to the output pulse width are made by writing a new time into register b1. updates to the pulse width are always synchronized to the lead- ing edge of the waveform. it is typical to use the pulse width modulation mode of the mdasm without interrupts, although an interrupt can be enabled to occur on the leading edge. when the output is an unchanging repetitive waveform, the mdasm continuously generates the signal without any software intervention. when the software needs to change the pulse width, a new trailing edge time is written to the mdasm. the output is changed on the next full pulse. when the software needs to change the output at a regular rate, such as an acceleration curve, the leading edge interrupt gives the software one period time to up- date the new trailing edge time. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-43 figure 15-12 mios1 example: pulse width modulation output 15.15.5 mios1 input pulse accumulation counting the number of pulses on an input signal is another capability of the mios1. pulse accumulation uses an mmcsm. since the counters in the counter submodules are software accessible, pulse accumulation does not require the use of an action sub- module. the pulse accumulation can operate continuously, interrupting only on binary overflow of the 16-bit counter. when an mmcsm is used, an interrupt can instead be created when the pulse accumulation reaches a preprogrammed value. to do that, the two?s complement of the value is put in the modulus register and the interrupt occurs when the counter overflows. 15.16 mios1 configuration the complete mios1 submodule and pin configuration is shown in table 15-36 . 16-bit up-counter submodule bus 16-bit compare b output flip-flop output pin 16-bit compare a 16-bit register b2 16-bit register a output compare interrupt on bus from prescaler or pin leading 16-bit register b1 edge modulus register modulus control clock select load select two 16-bit counter buses mios double action submodule in ocab mode (mod3 ? mod0 = 0b0101) mios modulus counter submodule f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-44 table 15-36 mios1 configuration submodule type submodule number connected to: rqsm number rqsm bit position base address pin function input pin name output pin name alternate pin function cba cbb cbc cbd bsl=00 bsl=01 bsl=10 bsl=11 mpwmsm 0 0 0 0x30 6000 pwm, i/o mpwm0 mpwm0 mpwmsm 1 0 1 0x30 6008 pwm, i/o mpwm1 mpwm1 mpwmsm 2 0 2 0x30 6010 pwm, i/o mpwm2 mpwm2 mpwmsm 3 0 3 0x30 6018 pwm, i/o mpwm3 mpwm3 reserved 4-5 mmcsm 6 cb6 0 6 0x30 6030 clock in mda11 load in mda12 reserved 7-10 mdasm 11 cb6 cb22 0 11 0x30 6058 channel i/o mda11 mda11 mdasm 12 cb6 cb22 0 12 0x30 6060 channel i/o mda12 mda12 mdasm 13 cb6 cb22 0 13 0x30 6068 channel i/o mda13 mda13 mdasm 14 cb6 cb22 0 14 0x30 6070 channel i/o mda14 mda14 mdasm 15 cb6 cb22 0 15 0x30 6078 channel i/o mda15 mda15 mpwmsm 16 1 0 0x30 6080 pwm, i/o mpwm16 mpwm16 mpwmsm 17 1 1 0x30 6088 pwm, i/o mpwm17 mpwm17 mpwmsm 18 1 2 0x30 6090 pwm, i/o mpwm18 mpwm18 mpwmsm 19 1 3 0x30 6098 pwm, i/o mpwm19 mpwm19 reserved 20-21 mmcsm 22 cb22 1 6 0x30 60b0 clock in mda13 load in mda14 reserved 23-26 mdasm 27 cb6 cb22 1 11 0x30 60d8 channel i/o mda27 mda27 mdasm 28 cb6 cb22 1 12 0x30 60e0 channel i/o mda28 mda28 mdasm 29 cb6 cb22 1 13 0x30 60e8 channel i/o mda29 mda29 mdasm 30 cb6 cb22 1 14 0x30 60f0 channel i/o mda30 mda30 mdasm 31 cb6 cb22 1 15 0x30 60f8 channel i/o mda31 mda31 mpiosm 32 0x30 6100 gp i/o 1 mpio32b0 mpio32b0 vf0 gp i/o mpio32b1 mpio32b1 vf1 gp i/o mpio32b2 mpio32b2 vf2 gp i/o mpio32b3 mpio32b3 vfls0 gp i/o mpio32b4 mpio32b4 vfls1 gp i/o mpio32b5 mpio32b5 gp i/o mpio32b6 mpio32b6 gp i/o mpio32b7 mpio32b7 gp i/o mpio32b8 mpio32b8 gp i/o mpio32b9 mpio32b9 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-45 gp i/o mpio32b10 mpio32b10 gp i/o mpio32b11 mpio32b11 gp i/o mpio32b12 mpio32b12 gp i/o mpio32b13 mpio32b13 gp i/o mpio32b14 mpio32b14 gp i/o mpio32b15 mpio32b15 reserved 33-255 mbism 256 0x30 6800 reserved 257 mcpsm 258 0x30 6810 reserved 259 rqsm0 384-391 0x30 6c00 rqsm1 392-399 0x30 6c40 reserved 400-511 notes: 1. gp = general purpose. table 15-36 mios1 conf iguration (continued) submodule type submodule number connected to: rqsm number rqsm bit position base address pin function input pin name output pin name alternate pin function cba cbb cbc cbd bsl=00 bsl=01 bsl=10 bsl=11 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 modular input/output subsystem (mios1) motorola user?s manual rev. 15 october 2000 15-46 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-1 section 16 can 2.0b controller module the MPC555 / mpc556 contains two can 2.0b controller modules (toucan). each toucan is a communication controller that implements the controller area network (can) protocol, an asynchronous communications protocol used in automotive and in- dustrial control systems. it is a high speed (1 mbit/sec), short distance, priority based protocol that can run over a variety of mediums (for example, fiber optic cable or an unshielded twisted pair of wires). the toucan supports both the standard and extend- ed identifier (id) message formats specified in the can protocol specification, revision 2.0, part b. each toucan module contains 16 message buffers, which are used for transmit and receive functions. it also contains message filters, which are used to qualify the re- ceived message ids when comparing them to the receive buffer identifiers. figure 16-1 shows a block diagram of a toucan module. figure 16-1 toucan block diagram 16.1 features each toucan module provides these features:  full implementation of can protocol specification, version 2.0 a/b ? standard data and remote frames (up to 109 bits long) control slave bus cntx0 cnrx0 interface unit 16rx/tx message buffers transmitter receiver cntx1 1 cnrx1 1 imb 1. in the MPC555 / mpc556, the cntx1 and cnrx1 signals are not available. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-2 ? extended data and remote frames (up to 127 bits long) ? 0 to 8 bytes data length ? programmable bit rate up to 1 mbit/sec  16 rx/tx message buffers of 0-8 bytes data length  content-related addressing  no read/write semaphores required  three programmable mask registers: global (for message buffers zero through 13), special for message buffer 14, and special for message buffer 15  programmable transmit-first scheme: lowest id or lowest buffer number  ?time stamp?, based on 16-bit free-running timer  global network time, synchronized by a specific message  programmable i/o modes  maskable interrupts  independent of the transmission medium (external transceiver is assumed)  open network architecture  multimaster concept  high immunity to emi  short latency time for high-priority messages  low power sleep mode with programmable wakeup on bus activity  outputs have open drain drivers  can be used to implement recommended practices sae j1939 and sae j2284  can also be used to implement popular industrial automation standards such as devicenet? and smart distributed system  motorola imb-family modular architecture 16.2 external pins the toucan module interface to the can bus consists of four pins: cantx0 and cantx1, which transmit serial data, and canrx0 and canrx1, which receive serial in the MPC555 / mpc556, the cntx1 and cnrx1 signals are not available. note in the MPC555 / mpc556, the cntx1 and cnrx1 signals are not available. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-3 figure 16-2 typical can network each can station is connected physically to the can bus through a transceiver. the transceiver provides the transmit drive, waveshaping, and receive/compare functions required for communicating on the can bus. it can also provide protection against damage to the toucan caused by a defective can bus or a defective can station. 16.3 toucan architecture the toucan module uses a flexible design that allows each of its 16 message buffers to be designated either a transmit (tx) buffer or a receive (rx) buffer. in addition, to reduce the cpu overhead required for message handling, each message buffer is as- signed an interrupt flag bit to indicate that the transmission or reception completed successfully. 16.3.1 tx/rx message buffer structure figure 16-3 displays the extended (29-bit) id message buffer structure. figure 16-4 displays the standard (11-bit) id message buffer structure. transceiver cntx0 cnrx0 can controller (toucan) can system can station 2 can station n can station 1 cntx1 1 cnrx1 1 1. in the MPC555, the cntx1 and cnrx1 signals are not available. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-4 figure 16-3 extended id message buffer structure figure 16-4 standard id message buffer structure 16.3.1.1 common fields for extend ed and standard format frames table 16-1 describes the message buffer fields that are common to both extended and standard identifier format frames. msb 08 lsb 12 0x0 time stamp code length control/status 0x2 id[28-18] srr ide id[17-15] id_high 0x4 id[14-0] rtr id_low 0x6 data byte 0 data byte 1 0x8 data byte 2 data byte 3 0xa data byte 4 data byte 5 0xc data byte 6 data byte 7 0xe reserved 1 notes: 1. the reading of a reserved location in memory may cause an rcpu exception. msb 08 lsb 12 0x0 time stamp code length control/status 0x2 id[28:18] rtr 0 0 0 0 id_high 0x4 16-bit time stamp id_low 0x6 data byte 0 data byte 1 0x8 data byte 2 data byte 3 0xa data byte 4 data byte 5 $c data byte 6 data byte 7 0xe reserved 1 notes: 1. the reading of a reserved location in memory may cause an rcpu exception. 7 11 15 7 11 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-5 table 16-1 common extend ed/standard format frames field description time stamp contains a copy of the high byte of the free running timer, which is captured at the beginning of the identifier field of the frame on the can bus. code refer to table 16-2 and table 16-3 . rx length length (in bytes) of the rx data stored in offset 0x6 through 0xd of the buffer.this field is written by the toucan module, copied from the dlc (data length code) field of the received frame. tx length length (in bytes) of the data to be transmitted, located in offset 0x6 through 0xd of the buffer. this field is written by the cpu and is used as the dlc field value. if rtr (remote transmission request) = 1, the frame is a remote frame and will be transmitted without data field, regardless of the value in tx length. data this field can store up to eight data bytes for a frame. for rx frames, the data is stored as it is re- ceived from the bus. for tx frames, the cpu provides the data to be transmitted within the frame. reserved the cpu controls access to this word entry field (16 bits). table 16-2 message buffer codes for receive buffers rx code before rx new frame description rx code af- ter rx new frame comment 0b0000 not active ? message buffer is not active. ? ? 0b0100 empty ? message buffer is active and empty. 0b0010 ? 0b0010 full ? message buffer is full. 0b0110 if a cpu read occurs before the new frame, new receive code is 0010. 0b0110 overrun ? second frame was received into a full buffer before the cpu read the first one. 0b0xy1 1 notes: 1. for tx message buffers, upon read, the busy bit should be ignored. busy ? message buffer is now being filled with a new receive frame. this condition will be cleared within 20 cycles. 0b0010 an empty buffer was filled (xy was 10). 0b0110 a full/overrun buffer was filled (y was 1). table 16-3 message buffer codes for transmit buffers rtr initial tx code description code after successful transmission x 0b1000 message buffer not ready for transmit. ? 0 0b1100 data frame to be transmitted once, unconditionally. 0b1000 1 0b1100 remote frame to be transmitted once, and message buffer be- comes an rx message buffer for data frames. 0b0100 0 0b1010 1 notes: 1. when a matching remote request frame is detected, the code for such a message buffer is changed to be 1110. data frame to be transmitted only as a response to a remote frame, always. 0b1010 0 0b1110 data frame to be transmitted only once, unconditionally, and then only as a response to remote frame, always. 0b1010 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-6 16.3.1.2 fields for extended format frames table 16-4 describes the message buffer fields used only for extended identifier for- mat frames. 16.3.1.3 fields for st andard format frames table 16-5 describes the message buffer fields used only for standard identifier format frames. 16.3.1.4 serial message buffers to allow double buffering of messages, the toucan has two shadow buffers called serial message buffers. the toucan uses these two buffers for buffering both re- ceived messages and messages to be transmitted. only one serial message buffer is active at a time, and its function depends upon the operation of the toucan at that time. at no time does the user have acce ss to or visibility of these two buffers. table 16-4 extended format frames field description id[28:18]/[17:15] contains the 14 most significant bits of the extended identifier, located in the id high word of the message buffer. substitute remote request (srr) contains a fixed recessive bit, used only in extended format. should be set to one by the user for tx buffers. it will be stored as received on the can bus for rx buffers. id extended (ide) if extended format frame is used, this field should be set to one. if zero, standard format frame should be used. id[14:0] bits [14:0] of the extended identifier, located in the id low word of the message buffer. remote transmission request (rtr) this bit is located in the least significant bit of the id low word of the message buffer; 0 = data frame, 1 = remote frame. table 16-5 standa rd format frames field description 16-bit time stamp the id low word, which is not needed for standard format, is used in a standard format buffer to store the 16-bit value of the free-running timer which is captured at the beginning of the iden- tifier field of the frame on the can bus. id[28:18] contains bits [28:18] of the identifier, located in the id high word of the message buffer. the four least significant bits in this register (corresponding to the ide bit and id[17:15] for an extended identifier message) must all be written as logic zeros to ensure proper operation of the toucan. rtr this bit is located in the id high word of the message buffer; 0 = data frame, 1 = remote frame. rtr/srr bit treatment if the toucan transmits this bit as a one and receives it as a zero, an ?arbitration loss? is indicated. if the toucan transmits this bit as a zero and is receives it as a one, a bit error is indi- cated. if the toucan transmits a value and receives a matching response, a successful bit transmission is indicated. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-7 16.3.1.5 message buffer activation/deactivation mechanism each message buffer must be activated once the user configures it for the desired op- eration. a buffer is activated by writing the appropriate code to the control/status word for that buffer. once the buffer is activated, it will begin participating in the normal transmit and receive processes. a buffer is deactivated by writing the appropriate deactivation code to the control/sta- tus word for that buffer. a buffer is typically deactivated when the user desires to re- configure the buffer (for example to change the buffer?s function from rx to tx or tx to rx). the buffer should also be deactivated before changing a receive buffer?s mes- sage identifier or before loading a new messa ge to be transmitted into a transmit buff- er. for more details on activation and deactivation of message buffers and the effects on message buffer operation, refer to 16.4 toucan operation . 16.3.1.6 message buffer lock/release/busy mechanism in addition to the activation/deactivation mechanism, the toucan also uses a lock/re- lease/busy mechanism to ensure data coherency during the receive process. the mechanism includes a lock status for each message buffer and uses the two serial message buffers to facilitate fr ame transfers within the toucan. reading the control/status word of a receive message buffer triggers the lock for that buffer. while locked, a received message cannot be transferred into that buffer from one of the serial message buffers. if a message transfer between the message buffer and a serial message buffer is in progress when the control/status word is read, the busy status is indicated in the code field, and the lock is not activated. the user can release the lock on a message buffer in one of two ways. reading the control/status word of another message buffer locks that buffer, releasing the previ- ously locked buffer. a global release can also be performed on any locked message buffer by reading the free-running timer. once a lock is released, any message transfers between a serial message buffer and a message buffer that were delayed due to that buffer being locked will take place. for more details on the message buffer locking mechanism, and the effects on message buffer operation, refer to 16.4 toucan operation . 16.3.2 receive mask registers the receive mask registers are used as acceptance masks for received frame ids. the following masks are defined:  a global mask, used for receive buffers 0-13  two separate masks for buffers 14 and 15 the value of the mask registers should not be changed during normal operation. if the mask register data is changed after the masked identifier of a received message is f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-8 matched to a locked message buffer, that message will be transferred into that mes- sage buffer once it is unlocked, regardless of whether that message?s masked identi- fier still matches the receive buffer identifier. table 16-6 shows mask bit values. table 16-7 shows mask examples for normal and extended messages. refer to 16.7 programmer?s model for more information on rx mask registers. 16.3.3 bit timing the toucan module uses three 8-bit registers to set up the bit timing parameters re- quired by the can protocol. control registers one and two (canctrl1, canctrl2) contain the propseg, pseg1, pseg2, and the rjw fields which allow the user to configure the bit timing parameters. the prescaler divide register (presdiv) allows the user to select the ratio used to derive the s-clock from the imb clock. the time table 16-6 receive mask register bit values mask bit values 0 the corresponding incoming id bit is ?don?t care? 1 the corresponding id bit is checked against the incoming id bit to see if a match exists table 16-7 mask examples for normal/extended messages message buffer (mb) /mask base id id[28:18] ide extended id id[17:0] match mb2 1 1 1 1 1 1 1 1 0 0 0 0 ? ? mb3 1 1 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ? mb4 0 0 0 0 0 0 1 1 1 1 1 0 ? ? mb5 0 0 0 0 0 0 1 1 1 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ? mb14 1 1 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ? rx global mask 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 ? rx message in 1 1 1 1 1 1 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 3 1 notes: 1. match for extended format (mb3). 1 1 1 1 1 1 1 1 0 0 1 0? 2 2 2. match for standard format (mb2). 1 1 1 1 1 1 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0 ? 3 3. no match for mb3 because of id0. 0 1 1 1 1 1 1 1 0 0 0 0? ? 4 4. no match for mb2 because of id28. 0 1 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ? 5 5. no match for mb3 because of id28, match for mb14. rx 14 mask 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 ? rx message in 1 0 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ? 6 6. no match for mb14 because of id27. 0 1 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 14 7 7. match for mb14. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-9 quanta clock operates at the s-clock frequency. table 16-8 provides examples of imb clock, can bit rate, and s-clock bit timing parameters. refer to 16.7 programmer?s model for more information on the bit timing registers. 16.3.3.1 configuring the toucan bit timing the following considerations must be observed when programming bit timing func- tions.  if the programmed presdiv value results in a single imb clock per one time quantum, then the pseg2 field in canctrl2 register must not be programmed to zero.  if the programmed presdiv value results in a single imb clock per one time quantum, then the information processing time (ipt) equals three time quanta; otherwise it equals two time quanta. if pseg2 equals two, then the toucan transmits one time quantum late relative to the scheduled sync segment.  if the prescaler and bit timing control fields are programmed to values that result in fewer than 10 imb clock periods per can bit time and the can bus loading is 100%, then any time the rising edge of a start-of-frame (sof) symbol transmitted by another node occurs during the third bit of the intermission between messag- es, the toucan may not be able to prepare a message buffer for transmission in time to begin its own transmission and arbitrate against the message which trans- mitted the early sof.  the toucan bit time must be programmed to be greater than or equal to nine imb clocks, or correct operation is not guaranteed. 16.3.4 error counters the toucan has two error counters, the transmit (tx) error counter and the receive (rx) error counter. refer to 16.7 programmer?s model for more information on error counters.the rules for increasing and decreasing these counters are described in the can protocol, and are fully implemented in the toucan. each counter has the following features:  8-bit up/down-counter  increment by eight (rx error counter also increments by one)  decrement by one  avoid decrement when equal to zero table 16-8 example imb clock, can bit rate a nd s-clock frequencies imb clock frequency (mhz) can bit rate (mhz) possible s-clock frequency (mhz) possible number of time quanta/bit presdiv value + 1 25 1 25 25 1 20 1 10, 20 10, 20 2, 1 16 1 8, 16 8, 16 2, 1 25 0.125 1, 1.25, 2.5 8,10, 20 25, 20,10 20 0.125 1, 2, 2.5 8, 16, 20 20, 10, 8 16 0.125 1, 2 8,16 16, 8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-10  rx error counter reset to a value between 119 and 127 inclusive, when the tou- can transitions from error passive to error active  following reset, both counters reset to zero  detect values for error passive, bus off and error active transitions  cascade usage of tx error counter with an additional internal counter to detect the 128 occurrences of 11 consecutive recessive bits necessary to transition from bus off into error active. both counters are read-only (exce pt in test/freeze/halt modes). the toucan responds to any bus state as described in the can protocol, transmitting an error active or error passive flag, delayi ng its transmission start time (error passive) and avoiding any influence on the bus when in the bus off state. the following are the basic rules for toucan bus state transitions:  if the value of the tx error counter or rx error counter increments to a value great- er than or equal to 128, the fault confinement state (fcs[1:0]) field in the error sta- tus register is updated to reflect an error passive state.  if the toucan is in an error passive state, and either the tx error counter or rx error counter decrements to a value less than or equal to 127 while the other error counter already satisfies this condition, the fcs[1:0] field in the error status reg- ister is updated to reflect an error active state.  if the value of the tx error counter increases to a value greater than 255, the fcs[1:0] field in the error status register is updated to reflect a bus off state, and an interrupt may be issued. the value of the tx error counter is reset to zero.  if the toucan is in the bus off state, the tx error counter and an additional inter- nal counter are cascaded to count 128 occurrences of 11 consecutive recessive bits on the bus. to do this, the tx error counter is first reset to zero, and then the internal counter begins counting consecutive recessive bits. each time the inter- nal counter counts 11 consecutive recessive bits, the tx error counter is incre- mented by one and the internal counter is reset to zero. when the tx error counter reaches the value of 128, the fcs[1:0] field in the error status register is updated to be error active, and both error counters are reset to zero. any time a dominant bit is detected following a stream of less than 11 consecutive recessive bits, the internal counter resets itself to zero but does not affect the tx error counter value.  if only one node is operating in a system, the tx error counter is incremented with each message it attempts to transmit, due to the resulting acknowledgment er- rors. however, acknowledgment errors never cause the toucan to change from the error passive state to the bus off state.  if the rx error counter increments to a value greater than 127, it stops increment- ing, even if more errors are detected while being a receiver. after the next suc- cessful message reception, the counter is reset to a value between 119 and 127, to enable a return to the error active state. 16.3.5 time stamp the value of the free-running 16-bit timer is sampled at the beginning of the identifier field on the can bus. for a message being received, the time stamp is stored in the time stamp entry of the receive message buffer at the time the message is written into f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-11 that buffer. for a message being transmitted, the time stamp entry is written into the transmit message buffer once the tran smission has completed successfully. the free-running timer can optionally be reset upon the reception of a frame into mes- sage buffer 0. this feature allows network time synchronization to be performed. 16.4 toucan operation the basic operation of the toucan can be divided into three areas:  reset and initialization of the module  transmit message handling  receive message handling example sequences for performing each of these processes is given in the following paragraphs. 16.4.1 toucan reset the toucan can be reset in two ways:  hard reset, using one of the imb3 reset lines  soft reset, using the softrst bit in the module configuration register following the negation of reset, the toucan is not synchronized with the can bus, and the halt, frz, and frzack bits in the module configuration register are set. in this state, the toucan does not initiate frame transmissions or receive any frames from the can bus. the contents of the message buffers are not changed following re- set. any configuration change or initialization requires that the toucan be frozen by either the assertion of the halt bit in the module configuration register or by reset. 16.4.2 toucan initialization initialization of the toucan includes the initial configuration of the message buffers and configuration of the can communication parameters following a reset, as well as any reconfiguration which may be required during operation. the following is a general initialization sequence for the toucan: 1. initialize all operation modes a. initialize the transmit and receive pin modes in control register 0 (canctrl0) b. initialize the bit timing parameters propseg, psegs1, pseg2, and rjw in control registers 1 and 2 (canctrl[1:2]) c. select the s-clock rate by programming the presdiv register d. select the internal arbitration mode (lbuf bit in canctrl1) 2. initialize message buffers f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-12 a. the control/status word of all message buffers must be written either as an active or inactive message buffer. b. all other entries in each message buffer should be initialized as required 3. initialize mask registers for acceptance mask as needed 4. initialize toucan interrupt handler a. initialize the interrupt configuratio n register (canicr) with a specific request level b. set the required mask bits in the imask register (for all message buffer interrupts), in canctrl0 (for bus off and error interrupts), and in canmcr for the wake interrupt 5. negate the halt bit in the module configuration register a. at this point, the toucan attempts to synchronize with the can bus note in both the transmit and receive processes, the first action in prepar- ing a message buffer must be to deactivate the buffer by setting its code field to the proper value. this step is mandatory to ensure data coherency. 16.4.3 transmit process the transmit process includes preparation of a message buffer for transmission, as well as the internal steps performed by the toucan to decide which message to trans- mit. for the user, this involves loading the message and id to be transmitted into a message buffer and then activating that buffer as an active transmit buffer. once this is done, the toucan performs all additional steps necessary to transmit the message onto the can bus. the user should prepare or change a message buffer for transmission by executing the following steps. 1. write the control/status word to ho ld the transmit buffer inactive (code = 0b1000) 2. write the id_high and id_low words 3. write the data bytes 4. write the control/status word (active tx code, tx length) note steps one and four are mandatory to ensure data coherency. once an active transmit code is written to a transmit message buffer, that buffer begins participating in an internal arbitration process as soon as the receiver senses that the can bus is free, or at the inter-frame space. if there are multiple messages awaiting transmission, this internal arbitration pr ocess selects the message buffer from which the next frame is transmitted. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-13 when this process is over and a message buffer is selected for transmission, the frame from that message buffer is transferred to the serial message buffer for trans- mission. the toucan transmits no more than eight data bytes, even if the transmit length con- tains a value greater than eight. at the end of a successful transmission, the value of the free-running timer (which was captured at the beginning of the identifier field on the can bus), is written into the time stamp field in the message buffer. the code field in the control/status word of the mes- sage buffer is updated and a status flag is set in the iflag register. 16.4.3.1 transmit message buffer deactivation any write access to the control/status word of a transmit message buffer during the process of selecting a message buffer for transmission immediately deactivates that message buffer, removing it from the transmission process. if the user deactivates a transmit message buffer while a message is being transferred from it to a serial message buff er, the message is not transmitted. if the user deactivates the transmit message buffer after the message is transferred to the serial message buffer, the message is transmitted, but no interrupt is requested, and the transmit code is not updated. if a message buffer containing the lowest id is deactivated while that message is un- dergoing the internal arbitration process to determine which message should be sent, then that message may not be transmitted. 16.4.3.2 reception of transmitted frames the toucan receives a frame it has transmitted if an empty message buffer with a matching identifier exists. 16.4.4 receive process during the receive process, the following events occur:  the user configures the message buffers for reception  the toucan transfers received messages from the serial message buffers to the receive message buffers with matching ids  the user retrieves these messages the user should prepare or change a message buffer for frame reception by executing the following steps. 1. write the control/status word to hold the receive buffer inactive (code = 0b0000) 2. write the id_high and id_low words 3. write the control/status word to mark the receive message buffer as active and empty note steps one and three are mandatory for data coherency. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-14 once these steps are performed, the message buffer functions as an active receive buffer and participates in the internal matching process, which takes place every time the toucan receives an error-free frame. in this process, all active receive buffers compare their id value to the newly received one. if a match is detected, the following actions occur: 1. the frame is transferred to the first (lowest entry) matching receive message buffer 2. the value of the free-running timer (captured at the beginning of the identifier field on the can bus) is written into the time stamp field in the message buffer 3. the id field, data field, and rx length field are stored 4. the code field is updated 5. the status flag is set in the iflag register the user should read a received frame from its message buffer in the following order: 1. control/status word (mandatory, as it activates the internal lock for this buffer) 2. id (optional, since it is needed only if a mask was used) 3. data field word(s) 4. free-running timer (optional, as it releases the internal lock) if the free running timer is not read, that message buffer remains locked until the read process starts for another message buffer. only a single message buffer is locked at a time. when a received message is read, the only mandatory read operation is that of the control/status word. this ensures data coherency. if the busy bit is set in the message buffer code, the cpu should defer accessing that buffer until this bit is negated. refer to table 16-2 . note the user should check the status of a message buffer by reading the status flag in the iflag register and not by reading the control/status word code field for that message buffer. this prevents the buffer from being locked inadvertently. because the received identifier field is always stored in the matching receive message buffer, the contents of the identifier field in a receive message buffer may change if one or more of the id bits are masked. 16.4.4.1 receive message buffer deactivation any write access to the control/status word of a receive message buffer during the pro- cess of selecting a message buffer for re ception immediately deactivates that mes- sage buffer, removing it from the reception process. if a receive message buffer is deactivated while a message is being transferred into it, the transfer is halted and no interrupt is requested. if this occurs, that receive message buffer may contain mixed data from two different frames. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-15 data must never be written into a receive message buffer. if this occurs while a mes- sage is being transferred from a serial messa ge buffer, the control/status word will re- flect a full or overrun condition, but no interrupt is requested. 16.4.4.2 locking and rele asing message buffers the lock/release/busy mechanism is designed to guarantee data coherency during the receive process. the following examples demonstrate how the the lock/release/busy mechanism affects toucan operation. 1. reading a control/status word of a message buffer triggers a lock for that message buffer. a new received message frame which matches the message buffer cannot be written into this message buffer while it is locked. 2. to release a locked message buffer, the cpu either locks another message buffer by reading its control/status word or globally releases any locked message buffer by reading the free-running timer. 3. if a receive frame with a matching id is received during the time the message buffer is locked, the receive frame is no t immediately transferred into that mes- sage buffer, but remains in the serial message buffer. there is no indication when this occurs. 4. when a locked message buffer is released, if a frame with a matching identifier exists within the serial message buffer, then this frame is transferred to the matching message buffer. 5. if two or more receive frames with matching ids are received while a message buffer with a matching id is locked, the last received frame with that id is kept within the serial message buffer, while all preceding ones are lost. there is no indication when this occurs. 6. if the user reads the control/status word of a receive message buffer while a frame is being transferred from a serial message buffer, the busy code is indi- cated. the user should wait until this code is cleared before continuing to read from the message buffer to ensure data coherency. in this situation, the read of the control/status word does not lock the message buffer. polling the control/status word of a receive message buffer can lock it, preventing a message from being transferred into that buffer. if the control/status word of a receive message buffer is read, it should be followed by a read of the control/status word of another buffer, or by a read of the free-running timer, to ensure that the locked buffer is unlocked. 16.4.5 remote frames the remote frame is a message frame that is transmitted to request a data frame. the toucan can be configured to transmit a data frame automatically in response to a re- mote frame, or to transmit a remote frame and then wait for the responding data frame to be received. to transmit a remote frame, the user initializes a message buffer as a transmit mes- sage buffer with the rtr bit set to one. on ce this remote frame is transmitted success- fully, the transmit message buffer automatically becomes a receive message buffer, with the same id as the remote frame that was transmitted. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-16 when the toucan receives a remote frame, it compares the remote frame id to the ids of all transmit message buffers programmed with a code of 1010. if there is an ex- act matching id, the data frame in that messa ge buffer is transmitted. if the rtr bit in the matching transmit message buffer is se t, the toucan transmits a remote frame as a response. a received remote frame is not stored in a receive message buffer. it is only used to trigger the automatic transmission of a frame in response. the mask registers are not used in remote frame id matching. all id bits (except rtr) of the incoming received frame must match for the remote frame to trigger a response transmission. 16.4.6 overload frames the toucan does not initiate overload frame transmissions unless it detects the fol- lowing conditions on the can bus:  a dominant bit in the first or second bit of intermission  a dominant bit in the seventh (last) bit of the end-of-frame (eof) field in receive frames  a dominant bit in the eighth (last) bit of the error frame delimiter or overload frame delimiter 16.5 special operating modes the toucan module has three special operating modes:  debug mode  low-power stop mode  auto power save mode 16.5.1 debug mode debug mode is entered when the frz1 bit in canmcr is set and one of the following events occurs:  the halt bit in the canmcr is set; or  the imb3 freeze line is asserted once entry into debug mode is requested, the toucan waits until an intermission or idle condition exists on the can bus, or until the toucan enters the error passive or bus off state. once one of these conditions exists, the toucan waits for the comple- tion of all internal activity. once this happens, the following events occur:  the toucan stops transmitting or receiving frames  the prescaler is disabled, thus halting all can bus communication  the toucan ignores its rx pins and drives its tx pins as recessive  the toucan loses synchronization with the can bus and the notrdy and frzack bits in canmcr are set  the cpu is allowed to read and write the error counter registers f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-17 after engaging one of the mechanisms to place the toucan in debug mode, the user must wait for the frzack bit to be set before accessing any other registers in the tou- can; otherwise unpredictable operation may occur. to exit debug mode, the imb freeze line must be negated or the halt bit in canmcr must be cleared. once debug mode is exited, the toucan resynchronizes with the can bus by waiting for 11 consecutive recessive bits before beginning to participate in can bus commu- nication. 16.5.2 low-power stop mode before entering low-power stop mode, the toucan waits for the can bus to be in an idle state, or for the third bit of intermission to be recessive. the toucan then waits for the completion of all internal activity (except in the can bus interface) to be com- plete. then the following events occur:  the toucan shuts down its clocks, stopping most internal circuits, thus achieving maximum power savings  the bus interface unit continues to operate, allowing the cpu to access the mod- ule configuration register  the toucan ignores its rx pins and drives its tx pins as recessive  the toucan loses synchronization with the can bus, and the stopack and notrdy bits in the module configuration register are set to exit low-power stop mode:  reset the toucan either by asserting one of the imb3 reset lines or by asserting the softrst bit canmcr.  clear the stop bit in canmcr.  the toucan module can optionally exit low-power stop mode via the self wake mechanism. if the selfwake bit in ca nmcr was set at the time the toucan entered stop mode, then upon detection of a recessive to dominant transition on the can bus, the toucan clears the stop bit in canmcr and its clocks begin running. when the toucan is in low-power stop mode, a recessive to dominant transition on the can bus causes the wakeint bit in the error and status register (estat) to be set. this event generates an interrupt if the wakemsk bit in canmcr is set. consider the following notes regarding low-power stop mode:  when the self wake mechanism is acti vated, the toucan tries to receive the frame that woke it up. (it assumes that the dominant bit detected is a start-of- frame bit.) it will not arbitrate for the can bus at this time.  if the stop bit is set while the toucan is in the bus off state, then the toucan enters low-power stop mode and stops counting recessive bit times. the count continues when stop is cleared.  to place the toucan in low-power stop mode with the self wake mechanism engaged, write to canmcr with both stop and selfwake set, and then wait f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-18 for the toucan to set the stopack bit.  to take the toucan out of low-power stop mode when the self wake mechanism is enabled, write to canmcr with both stop and selfwake clear, and then wait for the toucan to clear the stopack bit.  the selfwake bit should not be set after the toucan has already entered low- power stop mode.  if both stop and selfwake are set and a recessive to dominant edge immediately occurs on the can bus, the toucan may never set the stopack bit, and the stop bit will be cleared.  to prevent old frames from being sent when the toucan awakes from low-power stop mode via the self wake mechanism, disable all transmit sources, including transmit buffers configured for remote request responses, before placing the tou- can in low-power stop mode.  if the toucan is in debug mode when the stop bit is set, the toucan assumes that debug mode should be exited. as a result, it tries to synchronize with the can bus, and only then does it await the conditions required for entry into low-power stop mode.  unlike other modules, the toucan does not come out of reset in low-power stop mode. the basic toucan initialization procedure should be executed before placing the module in low-power stop mode. (refer to 16.4.2 toucan initializa- tion .)  if the toucan is in low-power stop mode with the self wake mechanism engaged and is operating with a single imb clock per time quantum, there can be extreme cases in which toucan wake-up on rece ssive to dominant edge may not con- form to the can protocol. toucan synchronization is shifted one time quantum from the wake-up event. this shift lasts until the next recessive-to-dominant edge, which resynchronizes the toucan to be in conformance with the can protocol. the same holds true when the toucan is in auto power save mode and awakens on a recessive to dominant edge. 16.5.3 auto power save mode auto power save mode enables normal operation with optimized power savings. once the auto power save (aps) bit in canmcr is set, the toucan looks for a set of con- ditions in which there is no need for the clocks to be running. if these conditions are met, the toucan stops its clocks, thus savi ng power. the following conditions activate auto power save mode.  no rx/tx frame in progress  no transfer of rx/tx frames to and from a serial message buffer, and no tx frame awaiting transmission in any message buffer  no cpu access to the toucan module  the toucan is not in debug mode, low-power stop mode, or the bus off state while its clocks are stopped, if the toucan senses that any one of the aforementioned conditions is no longer true, it restarts its clocks. the toucan then continues to mon- itor these conditions and stops or restarts its clocks accordingly. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-19 16.6 interrupts the toucan can generate one interrupt level on the imb. this level is programmed into the priority level bits in the interrup t configuration register (canicr). this value determines which interrupt signal is driven onto the bus when an interrupt is requested. each one of the 16 message buffers can be an interrupt source, if its corresponding imask bit is set. there is no distinction be tween transmit and receive interrupts for a particular buffer. each of the buffers is a ssigned a bit in the iflag register. an iflag bit is set when the corresponding buffer completes a successful transmission/recep- tion. an iflag bit is cleared when the cpu reads iflag while the associated bit is set, and then writes it back as zero (and no new event of the same type occurs be- tween the read and the write actions). the other three interrupt sources (bus off, error and wake up) act in the same way, and have flag bits located in the error and status register (estat). the bus off and error interrupt mask bits (boffmsk and errmsk) are located in canctrl0, and the wake up interrupt mask bit (wakemsk) is lo cated in the module configuration regis- ter. refer to 16.7 programmer?s model for more information on these registers. the toucan module is capable of generating one of the 32 possible interrupt levels on the imb3. the 32 interrupt levels are time multiplexed on the imb3 irq [0:7] lines. all interrupt sources place their asserted level on a time multiplexed bus during four different time slots, with eight levels communicated per slot. the ilbs[0:1] signals in- dicate which group of eight are being driven on the interrupt request lines. the level that the toucan will drive onto irq [7:0] is programmed in the three interrupt request level (irl) bits located in the interrupt configuration register. the two ilbs bits in the icr register determine on which slot the toucan should drive its interrupt sig- nal. under the control of ilbs, each interrupt request level is driven during the time multiplexed bus during one of four different time slots, with eight levels communicated per time slot. no hardware priority is assi gned to interrupts. furthermore, if more than one source on a module requests an interr upt at the same level, the system software must assign a priority to each source requesting at that level. figure 16-5 displays the interrupt levels on irq with ilbs. table 16-9 interrupt levels ilbs[0:1] levels 00 0:7 01 8:15 10 16:23 11 24:31 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-20 figure 16-5 interrupt levels on irq with ilbs 16.7 progra mmer?s model table 16-10 shows the toucan address map. the lowercase ?x? appended to each register name represents ?a? or ?b? for the toucan_a or toucan_b module, respec- tively. refer to 1.3 MPC555 / mpc556 address map to locate each toucan module in the MPC555 / mpc556 address map. the column labeled ?access? indicates the privilege level at which the cpu must be operating to access the register. a designation of ?s? indicates that supervisor mode is required. a designation of ?s/u? indicates that the register can be programmed for either supervisor mode access or unrestricted access. the address space for each toucan module is split, with 128 bytes starting at the base address, and an extra 256 bytes starting at the base address +128. the upper 256 are fully used for the message buffer structures. of the lower 128 bytes, some are not used. registers with bits marked as ?reserved? should always be written as logic 0. typically, the toucan control registers are programmed during system initialization, before the toucan becomes synchronized with the can bus. the configuration reg- isters can be changed after synchronization by halting the toucan module. this is done by setting the halt bit in the toucan module configuration register (canmcr). the toucan responds by asserting the canmcr notrdy bit. additionally, the con- trol registers can be modified while the mcu is in background debug mode. note the toucan has no hard-wired protection against invalid bit/field programming within its registers. specifically, no protection is provid- ed if the programming does not meet can protocol requirements. imb3 clock ilbs [1:0] imb3 irq [7:0] irq 7:0 00 01 11 10 irq 15:8 irq 23:16 irq 31:24 irq 7:0 00 01 11 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-21 table 16-10 toucan register map access offset msb 0 lsb 15 s 0x30 7080, 0x30 7480 toucan module configuration register (tcnmcr_x) see table 16-11 for bit descriptions. s 0x30 7082, 0x30 7482 toucan test register (cantcr_x) s 0x30 7084, 0x30 7484 toucan interrupt register (canicr_x) s/u 0x30 7086, 0x30 7486 control register 0 (canctrl0_x) see table 16-13 and table 16-16 for bit descriptions. control register 1 (canctrl1_x) s/u 0x30 7088, 0x30 7488 control and prescaler divider register (presdiv_x) see table 16-17 and table 16-18 for bit descriptions. control register 2 (ctrl2_x) s/u 0x30 708a, 0x30 748a free-running timer register (timer_x) see table 16-19 for bit descriptions. ? 0x30 708c, 0x30 748c ? 0x30 708e, 0x30 748e reserved s/u 0x30 7090, 0x30 7490 receive global mask ? high (rxgmskhi_x) see table 16-20 for bit descriptions. s/u 0x30 7092, 0x30 7492 receive global mask ? low (rxgmsklo_x) see table 16-20 for bit descriptions. s/u 0x30 7094, 0x30 7494 receive buffer 14 mask ? high (rx14mskhi_x) see 16.7.10 receive buffer 14 mask registers for bit descriptions. s/u 0x30 7096, 0x30 7496 receive buffer 14 mask ? low (rx14msklo_x) see 16.7.10 receive buffer 14 mask registers for bit descriptions. s/u 0x30 7098, 0x30 7498 receive buffer 15 fmask ? high (rx15mskhi_x) see 16.7.11 receive buffer 15 mask registers for bit descriptions. s/u 0x30 709a, 0x30 749a receive buffer 15 mask ? low (rx15msklo_x) see 16.7.11 receive buffer 15 mask registers for bit descriptions. ? 0x30 709c, 0x30 749c ? 0x30 709e, 0x30 749e reserved s/u 0x30 70a0, 0x30 74a0 error and status register (estat_x) see table 16-21 for bit descriptions. s/u 0x30 70a2, 0x30 74a2 interrupt masks (imask_x) see table 16-24 for bit descriptions. s/u 0x30 70a4, 0x30 74a4 interrupt flags (iflag_x) see table 16-25 for bit descriptions. s/u 0x30 70a6, 0x30 74a6 receive error counter (rxectr_x) see table 16-26 for bit descriptions. transmit error counter (txectr_x) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-22 s/u 0x30 7100 ? 0x30 710f(a) 0x30 7500 ? 0x30 750f(b) mbuff0 1 toucan_a message buffer 0. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7110 ? 0x30 711f(a) 0x30 7510 ? 0x30 751f(b) mbuff1 1 toucan_a message buffer 1. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7120 ? 0x30 712f(a) 0x30 7520 ? 0x30 752f(b) mbuff2 1 toucan_a message buffer 2. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7130 ? 0x30 713f(a) 0x30 7530 ? 0x30 753f(b) mbuff3 1 toucan_a message buffer 3. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7140 ? 0x30 714f(a) 0x30 7540 ? 0x30 754f(b) mbuff4 1 toucan_a message buffer 4. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7150 ? 0x30 715f(a) 0x30 7550 ? 0x30 755f(b) mbuff5 1 toucan_a message buffer 5. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7160 ? 0x30 716f(a) 0x30 7560 ? 0x30 756f(b) mbuff6 1 toucan_a message buffer 6. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x307170 ? 0x30717f(a) 0x30 7570 ? 0x30 757f(b) mbuff7 1 toucan_a message buffer 7. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7180 ? 0x30 718f(a) 0x30 7580 ? 0x30 758f(b) mbuff8 1 toucan_a message buffer 8. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 7190 ? 0x30 719f(a) 0x30 7590 ? 0x30 759f(b) mbuff9 1 toucan_a message buffer 9. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 71a0 ? 0x30 71af(a) 0x30 75a0 ? 0x30 75af(b) mbuff10 1 toucan_a message buffer 10. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 71b0 ? 0x30 71bf(a) 0x30 75b0 ? 0x30 75bf(b) mbuff11 1 toucan_a message buffer 11. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 71c0 ? 0x30 71cf(a) 0x30 75c0 ? 0x30 75cf(b) mbuff12 1 toucan_a message buffer 12. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 71d0 ? 0x30 71df(a) 0x30 75d0 ? 0x30 75df(b) mbuff13 1 toucan_a message buffer 13. see table 16-3 and table 16-4 for message buffer definitions. table 16-10 toucan regi ster map (continued) access offset msb 0 lsb 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-23 figure 16-6 toucan messa ge buffer memory map s/u 0x30 71e0 ? 0x30 71ef(a) 0x30 75e0 ? 0x30 75ef(b) mbuff14 1 toucan_a message buffer 14. see table 16-3 and table 16-4 for message buffer definitions. s/u 0x30 71f0 ? 0x30 71ff(a) 0x30 75f0 ? 0x30 75ff(b) mbuff15 1 toucan_a message buffer 15. see table 16-3 and table 16-4 for message buffer definitions. notes: 1. the last word of each of the the mbuff arrays (address 0x....e) is reserved and may cause a rcpu exception if read. table 16-10 toucan regi ster map (continued) access offset msb 0 lsb 15 0x30 7100, 0x30 7500 0x30 7102, 0x30 7502 , id low message buffer 0 0x307104, 0x307504 0x30 7106, 0x30 7506 0x30 710c, 0x30 750c 0x30710e, 0x30750e 0x30 7110, 0x30 7510 message buffer 1 0x30 7120, 0x30 7520 0x30 71ff, 0x30 75ff message buffer 2 message buffer 15 control/status id high 8-byte data field reserved , 0x30 7c00 , 0x30 7c02 , 0x30 7c04 , 0x30 7c06 , 0x30 7c0c , 0x30 7c0e , 0x30 7c10 , 0x30 7c20 , 0x30 7cff f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-24 16.7.1 toucan module configuration register tcnmcr ? toucan module configuration register 0x30 7080 0x30 7480 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 stop frz not used halt not rdy wake msk soft rst frz ack supv self wake aps stop ack reserved reset: 0 1 0 1 1 0 0 1 1 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-25 table 16-11 tcnmcr bit descriptions bit(s) name description 0stop low-power stop mode enable. the stop bit may only be set by the cpu. it may be cleared either by the cpu or by the toucan, if the selfwake bit is set. 0 = enable toucan clocks 1 = disable toucan clocks 1frz freeze assertion response. when frz = 1, the toucan can enter debug mode when the imb3 freeze line is asserted or the halt bit is set. clearing this bit field causes the tou- can to exit debug mode. refer to 16.5.1 debug mode for more information. 0 = toucan ignores the imb3 freeze signal and the halt bit in the module configuration register. 1 = toucan module enabled to enter debug mode. 2?reserved 3halt halt toucan s-clock. setting the halt bit has the same effect as assertion of the imb3 freeze signal on the toucan without requiring that freeze be asserted. this bit is set to one after reset. it should be cleared after initializing the message buffers and control reg- isters. toucan message buffer receive and transmit functions are inactive until this bit is cleared. when halt is set, write access to certain registers and bits that are normally read-only is allowed. 0 = the toucan operates normally 1 = toucan enters debug mode if frz = 1 4notrdy toucan not ready. this bit indicates that the toucan is either in low-power stop mode or debug mode. this bit is read-only and is set only when the toucan enters low-power stop mode or debug mode. it is cleared once the toucan exits either mode, either by synchroni- zation to the can bus or by the self wake mechanism. 0 = toucan has exited low-power stop mode or debug mode. 1 = toucan is in low-power stop mode or debug mode. 5 wakemsk wakeup interrupt mask. the wakemsk bit enables wake-up interrupt requests. 0 = wake up interrupt is disabled 1 = wake up interrupt is enabled 6softrst soft reset. when this bit is asserted, the toucan resets its internal state machines (sequencer, error counters, error flags, and timer) and the host interface registers (canmcr, canicr, cantcr, imask, and iflag). the configuration registers that control the interface with the can bus are not changed (canctrl[0:2] and presdiv). message buffers and receive message masks are also not changed. this allows softrst to be used as a debug feature while the system is running. setting softrst also clears the stop bit in canmcr. after setting softrst, allow one complete bus cycle to elapse for the internal toucan cir- cuitry to completely reset before executing another access to canmcr. the toucan clears this bit once the internal reset cycle is completed. 0 = soft reset cycle completed 1 = soft reset cycle initiated 7frzack toucan disable. when the toucan enters debug mode, it sets the frzack bit. this bit should be polled to determine if the toucan has entered debug mode. when debug mode is exited, this bit is negated once the toucan prescaler is enabled. this is a read-only bit. 0 = the toucan has exited debug mode and the prescaler is enabled 1 = the toucan has entered debug mode, and the prescaler is disabled 8supv supervisor/user data space. the supv bit places the toucan registers in either supervisor or user data space. 0 = registers with access controlled by the supv bit are accessible in either user or super- visor privilege mode 1 = registers with access controlled by the supv bit are restricted to supervisor mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-26 16.7.2 toucan test configuration register cantcr ? toucan test configuration register 0x30 7082, 0x30 7482 this register is used for factory test only. 16.7.3 toucan interrupt configuration register 9selfwake self wake enable. this bit allows the toucan to wake up when bus activity is detected after the stop bit is set. if this bit is set when the toucan enters low-power stop mode, the tou- can will monitor the bus for a recessive to dominant transition. if a recessive to dominant transition is detected, the toucan immediately clears the stop bit and restarts its clocks. if a write to canmcr with selfwake set occurs at the same time a recessive-to-dominant edge appears on the can bus, the bit will not be set, and the module clocks will not stop. the user should verify that this bit has been set by reading canmcr. refer to 16.5.2 low- power stop mode for more information on entry into and exit from low-power stop mode. 0 = self wake disabled 1 = self wake enabled 10 aps auto power save. the aps bit allows the toucan to automatically shut off its clocks to save power when it has no process to execute, and to automatically restart these clocks when it has a task to execute without any cpu intervention. 0 = auto power save mode disabled; clocks run normally 1 = auto power save mode enabled; clocks stop and restart as needed 11 stopack stop acknowledge. when the toucan is placed in low-power stop mode and shuts down its clocks, it sets the stopack bit. this bit should be polled to determine if the toucan has entered low-power stop mode. when the toucan exits low-power stop mode, the stopack bit is cleared once the toucan?s clocks are running. 0 = the toucan is not in low-power stop mode and its clocks are running 1 = the toucan has entered low-power stop mode and its clocks are stopped 12:15 ? reserved canicr ? toucan interrupt configuration register 0x30 7084 0x30 7484 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved irl ilbs reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 table 16-11 tcnmcr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-27 16.7.4 control register 0 table 16-12 canicr bit descriptions bit(s) name description 0:4 ? reserved 5:7 irl interrupt request level. when the toucan generates an interrupt request, this field deter- mines which of the interrupt request signals is asserted. 8:9 ilbs interrupt level byte select. this field selects one of four time-multiplexed slots during which the interrupt request is asserted. the ilbs and irl fields together select one of 32 effective interrupt levels. 00 = levels 0 to7 01 = levels 8 to 15 10 = levels 16 to 23 11 = levels 24 to 31 10:15 ? reserved canctrl0 ? control register 0 0x30 7086 0x30 7486 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 boff msk err msk reserved rxmod txmode canctrl1 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-13 canctrl0 bit descriptions bit(s) name description 0boffmsk bus off interrupt mask. the boff mask bit provides a mask for the bus off interrupt. 0 = bus off interrupt disabled 1 = bus off interrupt enabled 1 errmsk error interrupt mask. the errmsk bit provides a mask for the error interrupt. 0 = error interrupt disabled 1 = error interrupt enabled 2:3 ? 4:5 rxmode receive pin configuration control. these bits control the configuration of the canrx0 and canrx1 pins. refer to the table 16-14 . 6:7 txmode transmit pin configuration control. this bit field controls the configuration of the cantx0 and cantx1 pins. refer to table 16-15 . 8:15 canctrl1 see table 16-16 . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-28 16.7.5 control register 1 table 16-14 rx mode[1:0] configuration pin rx1 rx0 receive pin configuration cnrx1 1 notes: 1. the cnrx1 signal is not available on the MPC555 / mpc556. 0x a logic zero on the cnrx1 pin is interpreted as a dominant bit; a logic one on the cnrx1 pin is interpreted as a recessive bit 1x a logic one on the cnrx1 pin is interpreted as a dominant bit; a logic zero on the cnrx1 pin is interpreted as a recessive bit cnrx0 x0 a logic zero on the cnrx0 pin is interpreted as a dominant bit; a logic one on the cnrx0 pin is interpreted as a recessive bit x1 a logic one on the cnrx0 pin is interpreted as a dominant bit; a logic zeroon the cnrx0 pin is interpreted as a recessive bit table 16-15 transmit pin configuration txmode[1:0] transmit pin configuration 00 full cmos 1 ; positive polarity (cntx0 = 0, cntx1 2 = 1 is a dominant level) 2 notes: 1. full cmos drive indicates that both dominant and recessive levels are driven by the chip. 2. the cntx1 signal is not available on the MPC555 / mpc556. 01 full cmos; negative polarity (cntx0 = 1, cntx1 2 = 0 is a dominant level) 1x open drain 3 ; positive polarity 3. open drain drive indicates that only a dominant level is driven by the chip. during a reces- sive level, the cntx0 and cntx1 pins are disabled (three stated), and the electrical level is achieved by external pull-up/pull-down devices. the assertion of both tx mode bits caus- es the polarity inversion to be cancelled (open drain mode forces the polarity to be positive). canctrl1 ? control register 1 0x30 7086 0x30 7486 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 canctrl0 samp re- served tsync lbuf 0d propse reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-29 16.7.6 prescaler divide register table 16-16 canctrl1 bit descriptions bit(s) name description 0:7 canctrl0 see table 16-13 8 samp sampling mode. the samp bit determines whether the toucan module will sample each received bit one time or three times to determine its value. 0 = one sample, taken at the end of phase buffer segment one, is used to determine the value of the received bit. 1 = three samples are used to determine the value of the received bit. the samples are tak- en at the normal sample point and at the two preceding periods of the s-clock. 9?reserved 10 tsync timer synchronize mode. the tsync bit enables the mechanism that resets the free-run- ning timer each time a message is received in message buffer zero. this feature provides the means to synchronize multiple toucan stations with a special ?sync? message (global network time). 0 = timer synchronization disabled. 1 = timer synchronization enabled. note: there can be a bit clock skew of four to five counts between different toucan modules that are using this feature on the same network. 11 lbuf lowest buffer transmitted first. the lbuf bit defines the transmit-first scheme. 0 = message buffer with lowest id is transmitted first. 1 = lowest numbered buffer is transmitted first. 12 ? reserved 13:15 propseg propagation segment time. propseg defines the length of the propagation segment in the bit time. the valid programmed values are zero to seven. the propagation segment time is calculated as follows: propagation segment time = (propseg + 1) time quanta where 1 time quantum = 1 serial clock (s-clock) period presdiv ? prescaler divide register 0x30 7088 0x30 7488 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 presdiv canctrl2 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-30 16.7.7 control register 2 table 16-17 presdiv bit descriptions bit(s) name description 0:7 presdiv prescaler divide factor. presdiv determines the ratio between the imb clock frequency and the serial clock (s-clock). the s-clock is determined by the following calculation: the reset value of presdiv is 0x00, which forces the s-clock to default to the same fre- quency as the imb clock. the valid programmed values are 0 through 255. 8:15 canctrl2 see table 16-18 . canctrl2 ? control register 2 0x30 7088 0x30 7488 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 presdiv rjw pseg pseg2 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-18 canctrl2 bit descriptions bit(s) name description 0:7 presdiv see table 16-17 . 8:9 rjw resynchronization jump width. the rjw field defines the maximum number of time quanta a bit time may be changed during resynchronization. the valid programmed values are zero through three. the resynchronization jump width is calculated as follows: resynchronizaton jump width = (rjw + 1) time quanta 10:12 pseg1 pseg1[2:0] ? phase buffer segment 1. the pseg1 field defines the length of phase buffer segment one in the bit time. the valid programmed values are zero through seven. the length of phase buffer segment 1 is calculated as follows: phase buffer segment 1 = (pseg1 + 1) time quanta 13:15 pseg2 pseg2 ? phase buffer segment 2. the pseg2 field defines the length of phase buffer seg- ment two in the bit time. the valid programmed values are zero through seven. the length of phase buffer segment two is calculated as follows: phase buffer segment 2 = (pseg2 + 1) time quanta s-clock f sys presdiv 1 + ----------------------------------- - = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-31 16.7.8 free running timer 16.7.9 receive global mask registers timer ? free running timer register 0x30 708a 0x30 748a msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 timer reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-19 timer bit descriptions bit(s) name description 0:15 timer the free running timer counter can be read and written by the cpu. the timer starts from zero after reset, counts linearly to 0xffff, and wraps around. the timer is clocked by the toucan bit-clock. during a message, it increments by one for each bit that is received or transmitted. when there is no message on the bus, it increments at the nominal bit rate. the timer value is captured at the beginning of the identifier field of any frame on the can bus. the captured value is written into the ?time stamp? entry in a message buffer after a suc- cessful reception or transmission of a message. rxgmskhi ? receive global mask register high 0x30 7090, 0x30 7490 rxgmsklo ? receive global mask register low 0x30 7092, 0x30 7492 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 mid28 mid27 mid26 mid25 mid24 mid23 mid22 mid21 mid20 mid19 mid18 0 1 mid17 mid16 mid15 reset: 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 mid14 mid13 mid12 mid11 mid10 mid9 mid8 mid7 mid6 mid5 mid4 mid3 mid2 mid1 mid0 0 reset: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-32 16.7.10 receive buffer 14 mask registers rx14mskhi ? receive buffer 14 mask register high 0x30 7094, 0x30 7494 rx14msklo ? receive buffer 14 mask register low 0x30 7096, 0x30 7496 the receive buffer 14 mask registers have the same structure as the receive global mask registers and are used to mask buffer 14. 16.7.11 receive buffer 15 mask registers rx15mskhi ? receive buffer 15 mask register high 0x30 7098, 0x30 7498 rx15msklo ? receive buffer 15 mask register low 0x30 709a, 0x30 749a the receive buffer 15 mask registers have the same structure as the receive global mask registers and are used to mask buffer 15. 16.7.12 error and status register this register reflects various error conditions, general status, and has the enable bits for three of the toucan interrupt sources. the reported error conditions are those which have occurred since the last time the register was read. a read clears these bits to zero. table 16-20 rxgmskhi, rx gmsklo bit descriptions bit(s) name description 0:31 midx the receive global mask registers use four bytes. the mask bits are applied to all receive- identifiers, excluding receive-buffers 14 and 15, which have their own specific mask regis- ters. base id mask bits mid[28:18] are used to mask standard or extended format frames. ex- tended id bits mid[17:0] are used to mask only extended format frames. the rtr/srr bit of a received frame is never compared to the corresponding bit in the mes- sage buffer id field. however, remote request frames (rtr = 1) once received, are never stored into the message buffers. rtr mask bit locations in the mask registers (bits 20 and zero) are always zero, regardless of any write to these bits. the ide bit of a received frame is always compared to determine if the message contains a standard or extended identifier. its location in the mask registers (bit 19) is always one, re- gardless of any write to this bit. estat ? error and status register 0x30 70a0 0x30 74a0 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 biterr ack err crc err form err stuff err tx warn rx warn idle tx/rx fcs 0 boff int err int wake int reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-33 table 16-21 estat bit descriptions bit(s) name description 0:1 biterr transmit bit error. the biterr[1:0] field is used to indicate when a transmit bit error occurs. refer to table 16-22 . note : the transmit bit error field is not modified during the arbitration field or the ack slot bit time of a message, or by a transmitter that detects dominant bits while sending a passive error frame. 2 ackerr acknowledge error. the ackerr bit indicates whether an acknowledgment has been cor- rectly received for a transmitted message. 0 = no ack error was detected since the last read of this register 1 = an ack error was detected since the last read of this register 3 crcerr cyclic redundancy check error. the crcerr bit indicates whether or not the crc of the last transmitted or received message was valid. 0 = no crc error was detected since the last read of this register 1 = a crc error was detected since the last read of this register 4formerr message format error. the formerr bit indicates whether or not the message format of the last transmitted or received message was correct. 0 = no format error was detected since the last read of this register 1 = a format error was detected since the last read of this register 5stuferr bit stuff error. the stufferr bit indicates whether or not the bit stuffing that occurred in the last transmitted or received message was correct. 0 = no bit stuffing error was detected since the last read of this register 1 = a bit stuffing error was detected since the last read of this register 6 txwarn transmit error status flag. the txwarn status flag reflects the status of the toucan trans- mit error counter. 0 = transmit error counter < 96 1 = transmit error counter 96 7 rxwarn receiver error status flag. the rxwarn status flag reflects the status of the toucan re- ceive error counter. 0 = receive error counter < 96 1 = receive error counter 96 8idle idle status. the idle bit indicates when there is activity on the can bus. 0 = the can bus is not idle 1 = the can bus is idle 9tx/rx transmit/receive status. the tx/rx bit indicate s when the toucan module is transmitting or receiving a message. tx/rx has no meaning when idle = 1. 0 = the toucan is receiving a message if idle = 0 1 = the toucan is transmitting a message if idle = 0 10:11 fcs fault confinement state. the fcs[1:0] field describes the state of the toucan. refer to ta- ble 16-23 . if the softrst bit in canmcr is asserted while the toucan is in the bus off state, the error and status register is reset, including fcs[1:0]. however, as soon as the toucan exits reset, fcs[1:0] bits will again reflect the bus off state. refer to 16.3.4 error counters for more information on entry into and exit from the various fault confinement states. 12 ? reserved 13 boffint bus off interrupt. the boffint bit is used to request an interrupt when the toucan enters the bus off state. 0 = no bus off interrupt requested 1 = when the toucan state changes to bus off, this bit is set, and if the boffmsk bit in canctrl0 is set, an interrupt request is generated. this interrupt is not requested after reset. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-34 16.7.13 interrupt mask register 14 errint error interrupt. the errint bit is used to request an interrupt when the toucan detects a transmit or receive error. 0 = no error interrupt request 1 = if an event which causes one of the error bits in the error and status register to be set occurs, the error interrupt bit is set. if the errmsk bit in canctrl0 is set, an interrupt request is generated. to clear this bit, first read it as a one, then write as a zero. writing a one has no effect. 15 wakeint wake interrupt. the wakeint bit indicates that bus activity has been detected while the toucan module is in low-power stop mode. 0 = no wake interrupt requested 1 = when the toucan is in low-power stop mode and a recessive to dominant transition is detected on the can bus, this bit is set. if the wakemsk bit is set in canmcr, an in- terrupt request is generated. table 16-22 transmit bit error status biterr[1:0] bit error status 00 no transmit bit error 01 at least one bit sent as dominant was received as recessive 10 at least one bit sent as recessive was received as dominant 11 not used table 16-23 fault confinement state encoding fcs[1:0] bus state 00 error active 01 error passive 1x bus off imask ? interrupt mask register 0x30 70a2, 0x30 74a2 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 imaskh imaskl reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-24 imask bit descriptions bit(s) name description 0:7, 8:15 imaskh, imaskl imask contains two 8-bit fields, imaskh and imaskl. imask can be accessed with a 16- bit read or write, and imaskh and imaskl can be accessed with byte reads or writes. imask contains one interrupt mask bit per buffer. it allows the cpu to designate which buff- ers will generate interrupts after successful transmission/reception. setting a bit in imask enables interrupt requests for the corresponding message buffer. note : bit 15 (lsb) corresponds to message buffer 0. bit 0 (msb) corresponds to mesage buffer 15. table 16-21 estat bit d escriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-35 16.7.14 interrupt flag register 16.7.15 error counters iflag ? interrupt flag register 0x30 70a4 0x30 74a4 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 iflagh iflagl reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-25 iflag bit descriptions bit(s) name description 0:7, 8:15 iflagh, iflagl iflag contains two 8-bit fields, iflagh and iflagl. iflag can be accessed with a 16-bit read or write, and iflagh and iflagl can be accessed with byte reads or writes. iflag contains one interrupt flag bit per buffer. each successful transmission/reception sets the corresponding iflag bit and, if the corresponding imask bit is set, an interrupt request will be generated. to clear an interrupt flag, first read the flag as a one, and then write it as a zero. should a new flag setting event occur between the time that the cpu reads the flag as a one and writes the flag as a zero, the flag is not cleared. this register can be written to zeros only. note : bit 15 (lsb) corresponds to message buffer 0. bit 0 (msb) corresponds to mesage buffer 15. rxectr ? receive error counter 0x30 70a6, 0x30 74a6 txectr ? transmit error counter msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 rxectr txectr reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 16-26 rxectr, txectr bit descriptions bit(s) name description 0:7, 8:15 rxectr, txectr both counters are read only, except when the toucan is in test or debug mode. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 can 2.0b controller module motorola user?s manual rev. 15 october 2000 16-36 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-1 section 17 time processor unit 3 the time processor unit 3 (tpu3), an enhanced version of the original tpu, is an in- telligent, semi-autonomous microcontroller designed for timing control. the tpu3 is fully compatible to the tpu2. operating simultaneously with the cpu, the two tpu3 modules process micro-instructions, schedules and processes real-time hardware events, performs input and output, and accesses shared data without cpu interven- tion. consequently, for each timer event, the cpu setup and service times are mini- mized or eliminated. the MPC555 / mpc556 contains two independent tpu3s. figure 17-1 is a simplified block diagram of a single tpu3. figure 17-1 tpu3 block diagram 17.1 overview the tpu3 can be viewed as a special-purpose microcomputer that performs a pro- grammable series of two operations, match and capture. each occurrence of either op- eration is called an event. a programmed series of events is called a function. tpu functions replace software functions that would require cpu interrupt service. pins service requests data tcr1 tcr2 microengine control store execution unit imb3 host interface parameter ram channel control development support and system configuration scheduler control and data control timer channels channel 0 channel 1 channel 15 channel data t2clk pin test f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-2 the microcode rom tpu3 functions that are available in the MPC555 / mpc556 are described in appendix d tpu rom functions . 17.2 tpu3 components the tpu3 consists of two 16-bit time bases, 16 independent timer channels, a task scheduler, a microengine, and a host interface. in addition, a dual-ported parameter ram is used to pass parameters between the module and the cpu. 17.2.1 time bases two 16-bit counters provide reference time bases for all output compare and input capture events. prescalers for both time bases are controlled by the cpu via bit fields in the tpu3 module configuration register (tpumcr) and tpu module configuration register two (tpumcr2). timer count registers tcr1 and tcr2 provide access to the current counter values. tcr1 and tcr2 can be read by tpu microcode but are not directly available to the cpu. the tcr1 clock is always derived from the imb clock. the tcr2 clock can be derived from the imb clock or from an external input via thet2clk clock pin. the duration between active edges on the t2clk clock pin must be at least nine imb clocks. 17.2.2 timer channels the tpu3 has 16 independent channels, each connected to an mcu pin. the chan- nels have identical hardware and are functionally equivalent in operation. each chan- nel consists of an event register and pin control logic. the event register contains a 16-bit capture register, a 16-bit compare/match register, and a 16-bit greater-than-or- equal-to comparator. the direction of each pin, either output or input, is determined by the tpu microengine. each channel can either use the same time base for match and capture, or can use one time base for match and the other for capture. 17.2.3 scheduler when a service request is received, the scheduler determines which tpu3 channel is serviced by the microengine. a channel can request service for one of four reasons: for host service, for a link to another channel, for a match event, or for a capture event. the host system assigns each active channel one of three priorities: high, middle, or low. when multiple service requests are received simultaneously, a priority-scheduling mechanism grants service based on channel number and assigned priority. 17.2.4 microengine the microengine is composed of a control store and an execution unit. control-store rom holds the microcode for each factory-masked time function. when assigned to a channel by the scheduler, the execution unit executes microcode for a function as- signed to that channel by the cpu. microcode can also be executed from the dual-port ram (dptram) module instead of the control store. the dptram allows emulation and development of custom tpu microcode without the generation of a microcode rom mask. refer to 17.3.6 emulation support for more information. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-3 17.2.5 host interface the host interface registers allow communication between the cpu and the tpu3, both before and during execution of a time function. the registers are accessible from the imb through the tpu3 bus interface unit. refer to 17.4 programming model for register bit/field definitions and address mapping. 17.2.6 parameter ram parameter ram occupies 256 bytes at the top of the system address map. channel parameters are organized as 128 16-bit words. channels zero through 15 each have eight parameters. the parameter ram address map in 17.4.18 tpu3 parameter ram shows how parameter words are organized in memory. the cpu specifies function parameters by writing to the appropriate ram address. the tpu3 reads the ram to determine channel operation. the tpu3 can also store information to be read by the cpu in the parameter ram. detailed descriptions of the parameters required by each time function are beyond the scope of this manual. refer to the tpu reference manual (tpurm/ad) and the motorola tpu literature pack- age (tpulitpak/d) for more information. 17.3 tpu operation all tpu3 functions are related to one of the two 16-bit time bases. functions are syn- thesized by combining sequences of match events and capture events. because the primitives are implemented in hardware, the tpu3 can determine precisely when a match or capture event occurs, and respond rapidly. an event register for each chan- nel provides for simultaneous match/capture event occurrences on all channels. when a match or input capture event requiring service occurs, the affected channel generates a service request to the scheduler. the scheduler determines the priority of the request and assigns the channel to the microengine at the first available time. the microengine performs the function defined by the content of the control store or emu- lation ram, using parameters from the parameter ram. 17.3.1 event timing match and capture events are handled by independent channel hardware. this pro- vides an event accuracy of one time-base clock period, regardless of the number of channels that are active. an event normally causes a channel to request service. the time needed to respond to and service an event is determined by which channels and the number of channels requesting service, the relative priorities of the channels re- questing service, and the microcode execution time of the active functions. worst- case event service time (latency) determines tpu3 performance in a given applica- tion. latency can be closely estimated. for more information, refer to the tpu refer- ence manual (tpurm/ad) . 17.3.2 channel orthogonality most timer systems are limited by the fixed number of functions assigned to each pin. all tpu3 channels contain identical hardware and are functionally equivalent in oper- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-4 ation, so that any channel can be configured to perform any time function. any function can operate on the calling channel, and, under program control, on another channel determined by the program or by a parameter. the user controls the combination of time functions. 17.3.3 interchannel communication the autonomy of the tpu3 is enhanced by the ability of a channel to affect the oper- ation of one or more other channels without cpu intervention. interchannel communi- cation can be accomplished by issuing a link service request to another channel, by controlling another channel directly, or by accessing the parameter ram of another channel. 17.3.4 programmable ch annel service priority the tpu3 provides a programmable service priority level to each channel. three pri- ority levels are available. when more than one channel of a given priority requests ser- vice at the same time, arbitration is a ccomplished according to channel number. to prevent a single high-priority channel from permanently blocking other functions, other service requests of the same priority are performed in channel order after the lowest- numbered, highest-priority channel is serviced. 17.3.5 coherency for data to be coherent, all available portions of the data must be identical in age, or must be logically related. as an example, consider a 32-bit counter value that is read and written as two 16-bit words. the 32-bit value is read-coherent only if both 16-bit portions are updated at the same time, and write-coherent only if both portions take effect at the same time. parameter ram ha rdware supports coherent access of two adjacent 16-bit parameters. the host cpu must use a long-word operation to guaran- tee coherency. 17.3.6 emulation support although factory-programmed time functions can perform a wide variety of control tasks, they may not be ideal for all applications. the tpu3 provides emulation capa- bility that allows the user to develop new time functions. emulation mode is entered by setting the emu bit in tpumcr. in emulation mode, an auxiliary bus connection is made between the dptram and the tpu3, and access to dptram via the intermod- ule bus is disabled. a 9-bit address bus, a 32-bit data bus, and control lines transfer information between the modules. to ensure exact emulation, dptflash module ac- cess timing remains consistent with access timing of the tpu microcode rom control store. to support changing tpu application requirements, motorola has established a tpu function library. the function library is a collection of tpu functions written for easy as- sembly in combination with each other or with custom functions. refer to motorola pro- gramming note using the tpu function librar y and tpu emulation mode, ( tpupn00/d) , for information about developing custom functions and accessing the f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-5 tpu function library. re fer to the motorola tpu literature package (tpulitpak/d) for more information about specific functions. 17.3.7 tpu3 interrupts each of the tpu3 channels can generate an interrupt service request. interrupts for each channel must be enabled by writing to the appropriate control bit in the channel interrupt enable register (cier). the channel interrupt status register (cisr) contains one interrupt status flag per channel. time functions set the flags. setting a flag bit causes the tpu3 to make an interrupt service request if the corresponding channel interrupt enable bit is set. the tpu3 can generate one of 32 possible interrupt request levels on the imb3. the value driven onto irq [7:0] represents the interrupt level programmed in the irl field of the tpu interrupt configuration register (t icr). under the control of the ilbs bits in the icr, each interrupt request level is driven during the time multiplexed bus during one of four different time slots, with eight levels communicated per time slot. no hard- ware priority is assigned to interrupts. furthermore, if more than one source on a mod- ule requests an interrupt at the same level, the system software must assign a priority to each source requesting at that level. figure 17-2 displays the interrupt level scheme. figure 17-2 tpu3 interrupt levels 17.3.8 prescaler control for tcr1 timer count register 1 (tcr1) is clocked from the output of a prescaler. the following fields control tcr1:  the psck and tcr1p fields in tpumcr  the div2 field in tpumcr2  the epscke and epsck fields in tpumcr3. the rate at which tcr1 is incremented is determined as follows:  the user selects either the standard prescaler (by clearing the enhanced prescal- er enable bit, epscke, in tpumcr3) or the enhanced prescaler (by setting epscke). imb3 clock ilbs[1:0] imb3 irq [7:0] irq 7:0 00 01 11 10 irq 15:8 irq 23:16 irq 31:24 irq 7:0 00 01 11 10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-6 ? if the standard prescaler is selected (epscke = 0), the the psck bit deter- mines whether the standard prescaler divides the imb clock input by 32 (psck = 0) or four (psck = 1) ? if the enhanced prescaler is selected (epscke = 1), the epsck bits select a value by which the imb clock is divided. the lowest frequency for tcr1 clock is imb clock divided by 64x8. the highest frequency for tcr1 clock is imb clock divided by two (2x1). see table 17-1 . ? the output of either the standard prescaler or the enhanced prescaler is then divided by 1, 2, 4, or 8, depending on the value of the tcr1p field in the tpumcr. ? if the div2 bit is one, the tcr1 counter increments at a rate of the internal clock divided by two. if div2 is zero, th e tcr1 increment rate is defined by the output of the tcr1 prescaler (which, in turn, takes as input the output of either the standard or enhanced prescaler). figure 17-3 shows a diagram of the tcr1 prescaler control block. table 17-1 enhanced tcr1 prescaler divide values epsck value divide imb clock by 0x00 2 0x01 4 0x02 6 0x03 8 0x04, 0x05,...0x1d 10,12,...60 0x1e 62 0x1f 64 table 17-2 tcr1 prescaler values tcr1p value divide by 0b00 1 0b01 2 0b10 4 0b11 8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-7 figure 17-3 tcr1 prescaler control 17.3.9 prescaler control for tcr2 timer count register 2 (tcr2), like tcr1, is clocked from the output of a prescaler. the t2cg (tcr2 clock/gate control) bit and the t2csl (tcr2 counter clock edge) bit in tpumcr determine t2cr2 pin functions. refer to table 17-3 . the function of the t2cg bit is shown in figure 17-4 . when t2cg is set, the external t2clk pin functions as a gate of the div8 clock (the tpu3 imb clock divided by eight). in this case, when the external tcr2 pin is low, the div8 clock is blocked, preventing it from incrementing tcr2. when the external tcr2 pin is high, tcr2 is incremented at the frequency of the div8 clock. when t2cg is cleared, an external clock from the tcr2 pin, which has been synchronized and fed through a digital filter, increments tcr2. the duration between active edges on the t2clk clock pin must be at least nine imb clocks. the tcr2psck2 bit in tpumcr3 determines whether the clock source is divided by two before it is fed into the tcr2 prescale r. the tcr2 field in tpumcr specifies the value of the prescaler: 1, 2, 4, or 8. channels using tcr2 have the capability to re- table 17-3 tcr2 counter clock source t2csl t2cg tcr2 clock 0 0 rise transition t2clk 0 1 gated imb clock 1 0 fall transition t2clk 1 1 rise & fall transition t2clk imb clock prescaler psck mux tcr1 prescaler tcr1 div2 2,4,6,...64 prescaler 32 / 4 1,2,4,8 epscke enhanced f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-8 solve down to the tpu3 imb clock divided by eight. figure 17-4 illustrates the tcr2 pre-divider and pre-scaler control. figure 17-4 tcr2 prescaler control table 17-4 is a summary of prescaler output (assuming a divide-by-one value for the pre-divider prescaler. 17.4 programming model the tpu3 memory map contains three groups of registers:  system configuration registers  channel control and status registers  development support and test verification registers all registers except the channel interrupt status register (cisr) must be read or written by means of half-word (16-bit) or word (32-bit) accesses. the address space of the tpu3 memory map occupies 512 bytes. unused registers within the 512-byte address space return zeros when read. table 17-5 shows the tpu3 address map. table 17-4 tcr2 prescaler control tcr2 value internal clock divide ratio external clock divide ratio tcr2psck2 = 0 tcr2psck2 = 1 tcr2psck2 = 0 tcr2psck2 = 1 0b00 8 8 1 1 0b01 16 24 2 3 0b10 32 56 4 7 0b11 64 120 8 15 tcr2 prescaler tcr2 1,2,4,8 tcr2psck2 pre-divider clock source mux control tcr2 pin clock div8 prescaler f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-9 table 17-5 tpu3 register map msb 0 address register 0x30 4000 0x30 4400 tpu3 module configuration register (tpumcr) see table 17-6 for bit descriptions. 0x30 4002 0x30 4402 tpu3 test configuration register (tcr) 0x30 4004 0x30 4404 development support control register (dscr) see table 17-7 for bit descriptions. 0x30 4006 0x30 4406 development support status register (dssr) see table 17-8 for bit descriptions. 0x30 4008 0x30 4408 tpu3 interrupt configuration register (ticr) see table 17-9 for bit descriptions. 0x30 400a 0x30 440a channel interrupt enable register (cier) see table 17-10 for bit descriptions. 0x30 400c 0x30 440c channel function selection register 0 (cfsr0) see table 17-11 for bit descriptions. 0x30 400e 0x30 440e channel function selection register 1 (cfsr1) see table 17-11 for bit descriptions. 0x30 4010 0x30 4410 channel function selection register 2 (cfsr2) see table 17-11 for bit descriptions. 0x30 4012 0x30 4412 channel function selection register 3 (cfsr3) see table 17-11 for bit descriptions. 0x30 4014 0x30 4414 host sequence register 0 (hsqr0) see table 17-12 for bit descriptions. 0x30 4016 0x30 4416 host sequence register 1 (hsqr1) see table 17-12 for bit descriptions. 0x30 4018 0x30 4418 host service request register 0 (hsrr0) see table 17-13 for bit descriptions. 0x30 401a 0x30 441a host service request register 1 (hsrr1) see table 17-13 for bit descriptions. 0x30 401c 0x30 441c channel priority register 0 (cpr0) see table 17-14 for bit descriptions. 0x30 401e 0x30 441e channel priority register 1 (cpr1) see table 17-14 for bit descriptions. 0x30 4020 0x30 4420 channel interrupt status register (cisr) see table 17-16 for bit descriptions. 0x30 4022 0x30 4422 link register (lr) 0x30 4024 0x30 4424 service grant latch register (sglr) 0x30 4026 0x30 4426 decoded channel number register (dcnr) 0x30 4028 0x30 4428 tpu module configuration register 2 (tpumcr2) see table 17-17 for bit descriptions. 0x30 402a 0x30 442a tpu module configuration 3 (tpumcr3) see table 17-20 for bit descriptions. 0x30 402c 0x30 442c internal scan data register (isdr) lsb 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-10 17.4.1 tpu module c onfiguration register 0x30 402e 0x30 442e internal scan control register (iscr) 0x30 4100 ? 0x30 410f 0x30 4500 ? 0x30 450f channel 0 parameter registers 0x30 4110 ? 0x30 411f 0x30 4510 ? 0x30 451f channel 1 parameter registers 0x30 4120 ? 0x30 412f 0x30 4520 ? 0x30 452f channel 2 parameter registers 0x30 4130 ? 0x30 413f 0x30 4530 ? 0x30 453f channel 3 parameter registers 0x30 4140 ? 0x30 414f 0x30 4540 ? 0x30 454f channel 4 parameter registers 0x30 4150 ? 0x30 415f 0x30 4550 ? 0x30 455f channel 5 parameter registers 0x30 4160 ? 0x30 416f 0x30 4560 ? 0x30 456f channel 6 parameter registers 0x30 4170 ? 0x30 417f 0x30 4570 ? 0x30 457f channel 7 parameter registers 0x30 4180 ? 0x30 418f 0x30 4580 ? 0x30 458f channel 8 parameter registers 0x30 4190 ? 0x30 419f 0x30 4590 ? 0x30 459f channel 9 parameter registers 0x30 41a0 ? 0x30 41af 0x30 45a0 ? 0x30 45af channel 10 parameter registers 0x30 41b0 ? 0x30 41bf 0x30 45b0 ? 0x30 45bf channel 11 parameter registers 0x30 41c0 ? 0x30 41cf 0x30 45c0 ? 0x30 45cf channel 12 parameter registers 0x30 41d0 ? 0x30 41df 0x30 45d0 ? 0x30 45df channel 13 parameter registers 0x30 41e0 ? 0x30 41ef 0x30 45e0 ? 0x30 45ef channel 14 parameter registers 0x30 41f0 ? 0x30 41ff 0x30 45f0 ? 0x30 45ff channel 15 parameter registers tpumcr ? tpu module configuration register 0x30 4000 0x30 4400 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 stop tcr1p tcr2p emu t2cg stf supv psck tpu3 t2csl reserved reset: 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 table 17-5 tpu3 regi ster map (continued) msb 0 address register f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-11 table 17-6 tpumcr bit descriptions bit(s) name description 0stop low-power stop mode enable. if the stop bit in tpumcr is set, the tpu3 shuts down its inter- nal clocks, shutting down the internal microengine. tcr1 and tcr2 cease to increment and re- tain the last value before the stop condition was entered. the tpu3 asserts the stop flag (stf) in tpumcr to indicate that it has stopped. 0 = enable tpu3 clocks 1 = disable tpu3 clocks 1:2 tcr1p timer count register 1 prescaler control. tcr1 is clocked from the output of a prescaler. the prescaler divides its input by 1, 2, 4, or 8. this is a write-once field unless the pwod bit in tpumcr3 is set. 00 = divide by 1 01 = divide by 2 10 = divide by 4 11 = divide by 8 refer to 17.3.8 prescaler control for tcr1 for more information. 3:4 tcr2p timer count register 2 prescaler control. tcr2 is clocked from the output of a prescaler. the prescaler divides this input by 1, 2, 4, or 8. this is a write-once field unless the pwod bit in tpumcr3 is set. 00 = divide by 1 01 = divide by 2 10 = divide by 4 11 = divide by 8 refer to 17.3.9 prescaler control for tcr2 for more information. 5emu emulation control. in emulation mode, the tpu3 executes microinstructions from dptram ex- clusively. access to the dptram via the imb3 is blocked, and the dptram is dedicated for use by the tpu3. after reset, this bit can be written only once. 0 = tpu3 and dptram operate normally 1 = tpu3 and dptram operate in emulation mode 6t2cg tcr2 clock/gate control 0 = tcr2 pin used as clock source for tcr2 1 = tcr2 pin used as gate of div8 clock for tcr2 refer to 17.3.9 prescaler control for tcr2 for more information. 7stf stop flag. 0 = tpu3 is operating normally 1 = tpu3 is stopped (stop bit has been set) 8supv supervisor data space 0 = assignable registers are accessible from user or supervisor privilege level 1 = assignable registers are accessible from supervisor privilege level only 9 psck standard prescaler clock. note that this bit has no effect if the extended prescaler is selected (epscke = 1). 0 = f sys 32 is input to tcr1 prescaler, if standard prescaler is selected 1 = f sys 4 is input to tcr1 prescaler, if standard prescaler is selected 10 tpu3 tpu3 enable. the tpu3 enable bit provides compat ibility with the tpu. if running tpu code on the tpu3, the microcode size should not be greater than two kbytes and the tpu3 enable bit should be cleared to zero. the tpu3 enable bit is write-once after reset. the reset value is one, meaning that the tpu3 will operate in tpu3 mode. 0 = tpu mode; zero is the tpu reset value 1 = tpu3 mode; one is the tpu3 reset value note : the programmer should not change this value unless necessary when developing cus- tom tpu microcode. 11 t2csl tcr2 counter clock edge. this bit and the t2cg control bit determine the clock source for tcr2. refer to 17.3.9 prescaler control for tcr2 for details. 12:15 ? reserved. these bits are used for the iarb (interrupt arbitration id) field in tpu3 implementa- tions that use hardware interrupt arbitration. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-12 17.4.2 tpu3 test c onfiguration register tcr ? tpu3 test configuration register 0x30 4002, 0x30 4402 used for factory test only. 17.4.3 development su pport control register dscr ? development support control register 0x30 4004 0x30 4404 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 hot4 reserved blc clks frz ccl bp bc bh bl bm bt reset: 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-13 table 17-7 dscr bit descriptions bit(s) name description 0hot4 hang on t4 0 = exit wait on t4 state caused by assertion of hot4 1 = enter wait on t4 state 1:4 ? reserved 5blc branch latch control 0 = latch conditions into branch condition register before exiting halted state 1 = do not latch conditions into branch condition register before exiting the halted state or during the time-slot transition period 6clks stop clocks (to tcrs) 0 = do not stop tcrs 1 = stop tcrs during the halted state 7:8 frz freeze assertion response. the frz bits specify the tpu microengine response to the imb3 freeze signal 00 = ignore freeze 01 = reserved 10 = freeze at end of current microcycle 11 = freeze at next time-slot boundary 9 ccl channel conditions latch. ccl controls the latching of channel conditions (mrl and tdl) when the chan register is written. 0 = only the pin state condition of the new channel is latched as a result of the write chan reg- ister microinstruction 1 = pin state, mrl, and tdl conditions of the new channel are latched as a result of a write chan register microinstruction 10 bp pc breakpoint enable 0 = breakpoint not enabled 1 = break if pc equals pc breakpoint register 11 bc channel breakpoint enable 0 = breakpoint not enabled 1 = break if chan register equals channel breakpoint register at beginning of state or when chan is changed through microcode 12 bh host service breakpoint enable 0 = breakpoint not enabled 1 = break if host service latch is asserted at beginning of state 13 bl link service breakpoint enable 0 = breakpoint not enabled 1 = break if link service latch is asserted at beginning of state 14 bm mrl breakpoint enable 0 = breakpoint not enabled 1 = break if mrl is asserted at beginning of state 15 bt tdl breakpoint enable 0 = breakpoint not enabled 1 = break if tdl is asserted at beginning of state f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-14 17.4.4 development support status register 17.4.5 tpu3 interrupt configuration register dssr ? development support status register 0x30 4006 0x30 4406 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved bkpt pcbk chbk srbk tpuf reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-8 dssr bit descriptions bit(s) name description 0:7 ? reserved 8 bkpt breakpoint asserted flag. if an internal breakpoint caused the tpu3 to enter the halted state, the tpu3 asserts the bkpt signal on the imb and sets the bkpt flag. bkpt remains set until the tpu3 recognizes a breakpoint acknowledge cycle, or until the imb freeze signal is asserted. 9pcbk pc breakpoint flag. pcbk is asserted if a breakpoint occurs because of a pc (microprogram counter) register match with the pc breakpoint register. pcbk is negated when the bkpt flag is cleared. 10 chbk channel register breakpoint flag. chbk is asserted if a breakpoint occurs because of a chan register match with the chan register breakpoint register. chbk is negated when the bkpt flag is cleared. 11 srbk service request breakpoint flag. srbk is asserted if a breakpoint occurs because of any of the service request latches being asserted along with their corresponding enable flag in the devel- opment support control register. srbk is negated when the bkpt flag is cleared. 12 tpuf tpu3 freeze flag. tpuf is set whenever the tpu3 is in a halted state as a result of freeze being asserted. this flag is automatically negated when the tpu3 exits the halted state because of freeze being negated. 13:15 ? reserved ticr ? tpu3 interrupt configuration register 0x30 4008 0x30 4408 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved cirl ilbs reserved reset: 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-15 17.4.6 channel interrupt enable register the channel interrupt enable register (cier) allows the cpu to enable or disable the ability of individual tpu3 channels to reques t interrupt service. setting the appropriate bit in the register enables a channel to make an interrupt service request; clearing a bit disables the interrupt. 17.4.7 channel functi on select registers encoded 4-bit fields within the channel function select registers specify one of 16 time functions to be executed on the corresponding channel. encodings for predefined functions will be provided in a subsequent draft of this document. table 17-9 ticr bit descriptions bit(s) name description 0:4 ? reserved 5:7 cirl channel interrupt request level. this three-bit field specifies the interrupt request level for all channels. this field is used in conjunction with the ilbs field to determine the request level of tpu3 interrupts. 8:9 ilbs interrupt level byte select. this field and the cirl field determine the level of tpu3 interrupt re- quests. 00 = irq [0:7] selected 01 = irq [8:15] selected 10 = irq [16:23] selected 11 = irq [24:31] selected 10:15 ? reserved. note that bits 10:11 represent channel interrupt base vector (cibv) bits in some tpu3 implementations. cier ? channel interrupt enable register 0x30 400a 0x30 440a msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 ch 11 ch 10 ch 9 ch 8 ch 7 ch 6 ch 5 ch 4 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-10 cier bit descriptions bit(s) name description 0:15 ch[15:0] channel interrupt enable/disable 0 = channel interrupts disabled 1 = channel interrupts enabled note: the msb (bit 0 in big-endian mode) represents ch15, and the lsb (bit 15 in big-endian mode) represents ch0. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-16 17.4.8 host sequence registers the host sequence field selects the mode of operation for the time function selected on a given channel. the meaning of the host sequence bits depends on the time func- tion specified. meanings of host sequence bits and host service request bits for pre- defined time functions will be provided in a subsequent draft of this document. cfsr0 ? channel function select register 0 0x30 400c 0x30 440c msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 cfsr1 ? channel function select register 1 0x30 400e 0x30 440e msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 11 ch 10 ch 9 ch 8 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 cfsr2 ? channel function select register 2 0x30 4010 0x30 4410 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 7 ch 6 ch 5 ch 4 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 cfsr3 ? channel function select register 3 0x30 4012 0x30 4412 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-11 cfsrx bit descriptions name description ch[15:0] encoded time function for each channel. encoded four-bit fields in the channel function select reg- isters specify one of 16 time functions to be executed on the corresponding channel. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-17 17.4.9 host service request registers the host service request field selects the type of host service request for the time func- tion selected on a given channel. the meaning of the host service request bits is de- termined by time function microcode. refer to the tpu refere nce manual (tpurm/ ad) and the motorola tpu literature pa ckage (tpulitpak/d) for more information. hsqr0 ? host sequence register 0 0x30 4014 0x30 4414 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 ch 11 ch 10 ch 9 ch 8 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 hsqr1 ? host sequence register 1 0x30 4016 0x30 4416 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 7 ch 6 ch 5 ch 4 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-12 hsqrx bit descriptions name description ch[15:0] encoded host sequence. the host sequence field selects the mode of operation for the time function selected on a given channel. the meaning of the host sequence bits depends on the time function specified. hsrr0 ? host service request register 0 0x30 4018 0x30 4418 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 ch 11 ch 10 ch 9 ch 8 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-18 17.4.10 channel priority registers the channel priority registers (cpr1, cpr2) assign one of three priority levels to a channel or disable the channel. hsrr1 ? host service request register 1 0x30 401a 0x30 441a msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 7 ch 6 ch 5 ch 4 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-13 hssrx bit descriptions name description ch[15:0] encoded type of host service. the host service request field selects the type of host service request for the time function selected on a given channel. the meaning of the host service request bits depends on the time function specified. a host service request field cleared to 0b00 signals the host that service is completed by the mi- croengine on that channel. the host can request service on a channel by writing the corresponding host service request field to one of three non-zero states. the cpu must monitor the host service request register until the tpu3 clears the service request to 0b00 before any parameters are changed or a new service request is issued to the channel. cpr0 ? channel priority register 0 0x30 401c 0x30 441c msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 ch 11 ch 10 ch 9 ch 8 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 cpr1 ? channel priority register 1 0x30 401e 0x30 441e msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 7 ch 6 ch 5 ch 4 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-14 cprx bit descriptions name description ch[15:0] encoded channel priority levels. table 17-15 indicates the number of time slots guaranteed for each channel priority encoding. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-19 17.4.11 channel interr upt status register the channel interrupt status register (cisr) contains one interrupt status flag per channel. time functions specify via microcode when an interrupt flag is set. setting a flag causes the tpu3 to make an interrupt service request if the corresponding cier bit is set. to clear a status flag, read cisr, then write a zero to the appropriate bit. cisr is the only tpu3 register that can be accessed on a byte basis. 17.4.12 link register lr ? link register 0x30 4022, 0x30 4422 used for factory test only. 17.4.13 service grant latch register sglr ? service grant latch register 0x30 4024, 0x30 4424 used for factory test only. 17.4.14 decoded cha nnel number register dcnr ? decoded channel number register 0x30 4026, 0x30 4426 used for factory test only. table 17-15 channel priorities chx[1:0] service guaranteed time slots 00 disabled ? 01 low 1 out of 7 10 middle 2 out of 7 11 high 4 out of 7 cisr ? channel interrupt status register 0x30 4020 0x30 4420 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ch 15 ch 14 ch 13 ch 12 ch 11 ch 10 ch 9 ch 8 ch 7 ch 6 ch 5 ch 4 ch 3 ch 2 ch 1 ch 0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-16 cisr bit descriptions bit(s) name description 0:15 ch[15:0] channel interrupt status 0 = channel interrupt not asserted 1 = channel interrupt asserted f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-20 17.4.15 tpu3 module conf iguration register 2 tpumcr2 ? tpu module configuration register 2 0x30 4028 0x30 4428 msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved div2 soft rst etbank fpsck t2cf dtpu reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 17-17 tpumcr 2 bit desc riptions bit(s) name description 0:6 ? reserved 7div2 divide by 2 control. when asserted, the div2 bit, along with the tcr1p bit and the psck bit in the tpumcr, determines the rate of the tcr1 counter in the tpu3. if set, the tcr1 counter increments at a rate of two imb clocks. if negated, tcr1 increments at the rate determined by control bits in the tcr1p and psck fields. 0 = tcr1 increments at rate determined by control bits in the tcr1p and psck fields of the tpumcr register 1 = causes tcr1 counter to increment at a rate of the imb clock divided by two 8 soft rst soft reset. the tpu3 performs an internal reset when both the soft rst bit in the tpumcr2 and the stop bit in tpumcr are set. the cpu must write zero to the soft rst bit to bring the tpu3 out of reset. the soft rst bit must be asserted for at least nine clocks. 0 = normal operation 1 = puts tpu3 in reset until bit is cleared note : do not attempt to access any other tpu3 registers when this bit is asserted. when this bit is asserted, it is the only accessible bit in the register. 9:10 etbank entry table bank select. this field determines the bank where the microcoded entry table is situ- ated. after reset, this field is 0b00. this control bit field is write once after reset. etbank is used when the microcode contains entry tables not located in the default bank 0. to execute the rom functions on this mcu, etbank[1:0] must be 0b0. refer to table 17-18 . note : this field should not be modified by the programmer unless necessary because of cus- tom microcode. 11:13 fpsck filter prescaler clock. the filter prescaler clock control bit field determines the ratio between imb clock frequency and minimum detectable pulses. the reset value of these bits is zero, defining the filter clock as four imb clocks. refer to table 17-19 . 14 t2cf t2clk pin filter control. when asserted, the t2clk input pin is filtered with the same filter clock that is supplied to the channels. this control bit is write once after reset. 0 = uses fixed four-clock filter 1 = t2clk input pin filtered with same filter clock that is supplied to the channels 15 dtpu disable tpu3 pins. when the disable tpu3 control pin is asserted, pin tp15 is configured as an input disable pin. when the tp15 pin value is zero, all tpu3 output pins are three-stated, regard- less of the pins function. the input is not synchronized. this control bit is write once after reset. 0 = tp15 functions as normal tpu3 channel 1 = tp15 pin configured as output disable pin. when tp15 pin is low, all tpu3 output pins are in a high-impedance state, regardless of the pin function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-21 17.4.16 tpu module conf iguration register 3 table 17-18 entry ta ble bank location etbank bank 00 0 01 1 10 2 11 3 table 17-19 imb clock fr equency/minimum guaranteed detected pulse filter control fpsck divide by 20 mhz 33 mhz 40 mhz 000 4 200 ns 121 ns 100 ns 001 8 400 ns 242 ns 200 ns 010 16 800 ns 485 ns 400 ns 011 32 1.6 s 970 ns 800 ns 100 64 3.2 s1.94 s1.60 s 101 128 6.4 s3.88 s3.20 s 110 256 12.8 s7.76 s6.40 s 111 512 25.6 s 15.51 s12.80 s tpumcr3 ? tpu module configuration register 3 0x30 402a 0x30 442a msb lsb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved pwod tcr2 pck2 ep- scke re- served epsck reset: 0 0 0 0 0 0 0 table 17-20 tpumcr 3 bit desc riptions bit(s) name description 0:6 ? reserved 7pwod prescaler write-once disable bit. the pwod bit does not lock the epsck field and the epscke bit. 0 = prescaler fields in mcr are write-once 1 = prescaler fields in mcr can be written anytime 8 tcr2psc k2 tcr2 prescaler 2 0 = prescaler clock source is divided by one. 1 = prescaler clock is divided. see divider definitions in table 17-4 . 9 epscke enhanced pre-scaler enable 0 = disable enhanced prescaler (use standard prescaler) 1 = enable enhanced prescaler. imb clock will be divided by the value in epsck field. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-22 17.4.17 tpu3 test registers the following tpu3 registers are used for factory test only:  internal scan data register (isdr, address offset 0x30 402c, 0x30 442c)  internal scan control register (iscr, address offset 0x30 402e, 0x30 442e) 17.4.18 tpu3 parameter ram the channel parameter registers are organized as one hundred 16-bit words of ram. channels 0 to 15 have eight parameters. the parameter registers constitute a shared work space for communication between the cpu and the tpu3. the tpu3 can only access data in the parameter ram. refer to table 17-21 . 10 ? reserved 11:15 epsck enhanced prescaler value that will be loaded into the enhanced prescaler counter. prescaler val- ue = (epsck + 1) x 2. refer to 17.3.8 prescaler control for tcr1 for details. table 17-21 parameter ram address offset map 1 channel parameter number 0 1 2 3 4 5 6 7 0 100 500 102 502 104 504 106 506 108 508 10a 50a 10c 50c 10e 50e 1 110 510 112 512 114 514 116 516 118 518 11a 51a 11c 51c 11e 51e 2 120 520 122 522 124 524 126 526 128 528 12a 52a 12c 52c 12e 52e 3 130 530 132 532 134 534 136 536 138 538 13a 53a 13c 53c 13e 53e 4 140 540 142 542 144 544 146 546 148 548 14a 54a 14c 54c 14e 54c 5 150 550 152 552 154 554 156 556 158 558 15a 55a 15c 55c 15e 55e 6 160 560 162 562 164 564 166 566 168 568 16a 56a 16c 56c 16e 56e 7 170 570 172 572 174 574 176 576 178 578 17a 57a 17c 57c 17e 57e 8 180 580 182 582 184 585 186 586 188 588 18a 58a 18c 58c 18e 58e 9 190 590 192 592 194 594 196 596 198 598 19a 59a 19c 59c 19e 59e 10 1a0 5a0 1a2 5a2 1a4 5a4 1a6 5a6 1a8 5a8 1aa 5aa 1ac 5ac 1ae 5ae 11 1b0 5b0 1b2 5b2 1b4 5b4 1b6 5b6 1b8 5b8 1ba 5ba 1bc 5bc 1be 5be 12 1c0 5c0 1c2 5c2 1c4 5c4 1c6 5c6 1c8 5c8 1ca 5ca 1cc 5cc 1ce 5ce 13 1d0 5d0 1d2 5d2 1d4 5d4 1d6 5d6 1d8 5d8 1da 5da 1dc 5dc 1de 5de table 17-20 tpumcr3 bit descriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-23 17.5 time functions descriptions of the MPC555 / mpc556 pre-programmed time functions are shown in appendix d tpu rom functions . channel parameter number 0 1 2 3 4 5 6 7 14 1e0 5e0 1e2 5e2 1e4 5e4 1e6 5e6 1e8 5e8 1ea 5ea 1ec 5ec 1ee 5ee 15 1f0 5f0 1f2 5f2 1f4 5f4 1f6 5f6 1f8 5f8 1fa 5fa 1fc 5fc 1fe 5fe notes: 1. these addresses should be added to 0x30 4000 to derive the com- plete parameter address. table 17-21 parameter ram address offset map 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 time processor unit 3 motorola user?s manual rev. 15 october 2000 17-24 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-1 section 18 dual-port tpu ram (dptram) the dual-port ram module with tpu microcode storage support (dptram) consists of a control register block and a 6-kbyte array of static ram, which can be used either as a microcode storage for tpu or as a general-purpose memory. the dptram module acts as a common memory on the imb3 and allows the transfer of data to the two tpu3 modules. therefore, the dptram interface includes an imb3 bus interface and two tpu3 interfaces. when the ram is being used in microcode mode, the array is only accessible to the tpu3 via a separate local bus, and not via the imb3. the dual-port tpu3 ram (dptram) is intended to serve as fast, two-clock access, general-purpose ram memory for the mcu. when used as general-purpose ram, this module is accessed via the mcu?s internal bus. the dptram module is powered by vddl in normal operation. the entire array may be used as standby ram if standby power is supplied via the vddsram pin of the mcu. vddsram must be supplied by an external source. the dptram may also be used as the microcode control store for up to two tpu3 modules when placed in a special emulation mode. in this mode the dptram array may only be accessed by either or both of the tpu3 units simultaneously via separate emulation buses, and not via the imb3. the dptram contains a multiple input signature calculator (misc) in order to provide ram data corruption checking. the misc reads each ram address and generates a 32-bit data-dependent signature. this signature can then be checked by the host. the dptram supports soft defects detection (sdd). note the rcpu can not perform instruction fetches from any module on the imb (including the dptram). only data accesses are permitted. 18.1 features  six kbytes of static ram  only accessible by the cpu if neither tpu3 is in emulation mode  low-power stop operation ? entered by setting the stop bit in the dptmcr ? applies only to imb3 accesses and not to accesses from either tpu3 interface  tpu microcode mode ? the dptram array acts as a microcode storage for the tpu module. this provides a means executing tpu code out of dptram instead of program- ming it in the tpu rom. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-2  includes built in check logic which scans the array contents and calculates the ram signature  imb3 bus interface  two tpu3 interface units  bytes, half-word or word accessible 18.2 dptram configurat ion and block diagram figure 18-1 dptram configuration 18.3 programming model the dptram module consists of two separately addressable sections. the first is a set of memory-mapped control and status registers used for configuration (dptmcr, rambar, misrh, misrl, mi scnt) and testing (dpttcr) of the dptram array. the second section is the array itself. all dptram module control and status registers are located in supervisor data space. user reads or writes of these will result in a bus error. when the tpu3 is using the ram array for microcode control store, none of these con- trol registers have any effect on the operation of the ram array. all addresses within the 64-byte control block will respond when accessed properly. unimplemented addresses will return zeros for read accesses. likewise, unimple- mented bits within registers will return zero when read and will not be affected by write operations. table 18-1 shows the dptram control and stat us registers. the addresses shown are offsets from the base address for the module. refer to 1.3 MPC555 / mpc556 ad- tpu microcode mode imb3 tpu tpu local bus local bus ram mode ram tpu tpu ram imb3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-3 dress map to locate the dptram control block in the MPC555 / mpc556 address map. the dptram array occupies the 6-kbyte block. in the MPC555 / mpc556, the array must be located at the address 0x30 2000. refer to figure 1-3 and figure 18-2 . figure 18-2 dptram memory map 18.3.1 dptram module confi guration register (dptmcr) this register defines the basic configuration of the dptram module. the dptmcr contains bits to configure the dpt ram module for stop operation and for proper ac- cess rights to the array. the register also contains the misc control bits. table 18-1 dptram register map r/w access address register reset value supv r/w 0x30 0000 dpt ram module configuration register (dptrmcr) see table 18-2 for bit descriptions. 0x0100 test 0x30 0002 test configuration register (dpttcr) 0x0000 supv r/w 0x30 0004 ram base address register (rambar) see table 18-3 for bit descriptions. 0x0001 supv read only 0x30 0006 multiple input signature register high (misrh) see 18.3.4 misr high (misrh) and misr low (misrl) for bit descriptions. 0x0000 supv read only 0x30 0008 multiple input signature register low (misrl) see 18.3.4 misr high (misrh) and misr low (misrl) for bit descriptions. 0x0000 supv read only 0x30 000a multiple input signature counter (miscnt) see 18.3.5 misc counter (miscnt) for bit descriptions. last memory address dptram array (6 kbytes) 0x30 2000 0x30 37ff f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-4 18.3.2 dptram test register ramtst ? test register 0x30 0002 ramtst is used only during factory testing of the mcu. dptmcr ? dpt module configuration register 0x30 0000 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 stop not used misf mis- en rasp reserved reset: 0 0 0 1 0 0 0 0 0 0 0 0 table 18-2 dptmcr bit descriptions bit(s) name description 0stop low power stop (sleep) mode 0 = dptram clocks running 1 = dptram clocks shut down only the stop bit in the dptmcr may be accessed while the stop bit is asserted. accesses to other dptram registers may result in unpredictable behavior. note also that the stop bit should be set and cleared independently of the other control bits in this register to guarantee proper operation. changing the state of other bits while changing the state of the stop bit may result in unpredictable behavior. refer to 18.4.4 stop operation for more information. 1:4 ? reserved 5misf multiple input signature flag. misf is readable at any time. this flag bit should be polled by the host to determine if the misc has completed reading the ram. if misf is set, the host should read the misrh and misrl registers to obtain the ram signature. 0 = first signature not ready 1 = misc has read entire ram. signature is latched in misrh and misrl and is ready to be read. 6 misen multiple input signature enable. misen is readable and writable at any time. the misc will only operate when this bit is set and the MPC555 / mpc556 is in tpu3 emulation mode. when en- abled, the misc will continuously cycle through the ram addresses, reading each and adding the contents to the misr. in order to save power, the misc can be disabled by clearing the mis- en bit. 0 = misc disabled 1 = misc enabled 7rasp ram area supervisor/user program/data. the ram array may be placed in supervisor or unre- stricted space. when placed in supervisor space, (rasp = 1), only a supervisor may access the array. if a supervisor program is accessing the array, normal read/write operation will occur. if a user program is attempting to access the array, the access will be ignored and the address may be decoded externally. 0 = both supervisor and user access to ram allowed 1 = supervisor access only to ram allowed 8:15 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-5 18.3.3 ram base address register (rambar) the rambar register is used to specify the 16 msbs of the starting dpt ram array location in the memory map. in the MPC555 / mpc556, this register must be pro- gramed to the value 0xffa0. this register can be written only once after a reset. this prevents runaway software from inadvertently re-mapping the array. since the locking mechanism is triggered by the first write after reset, the base address of the array should be written in a single operation. writing only one half of the register will prevent the other half from being written. soft reset has no effect on this register. 18.3.4 misr high (misrh) and misr low (misrl) the misrh and misrl together contain the 32-bit ram signature calculated by the misc. these registers are read-only and should be read by the host when the misf bit in the mcr is set. note that the naming of the d[31:0] bits represents little-endian bit encoding. exiting tpu3 emulation mode results in the reset of both misrh and misrl rambar ? ram array base address register 0x30 0004 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 a8 a9 a10 a11 a12 a13 a14 a15 a16 a17 a18 reserved ramds reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 table 18-3 rambar bit descriptions bit(s) name description 0:10 a[8:18] ram array base address. these bits specify the 11 high-order bits (address lines addr[8:18] in little-endian notation) of the 24-bit base address of the ram array. this allows the array to be placed on a 8-kbyte boundary anywhere in the memory map. it is the users responsibility not to overlap the ram array memory map with other modules on the chip. on the MPC555 / mpc556 the value 0xffa0 must be used. 11:14 ? reserved. (bits 11:12 represent a[19:20] in dptram implementations that require them. 15 ramds ram disabled. ramds is a read-only status bit. the ram array is disabled after a master reset since the rambar register may be incorrect. when the array is disabled, it will not respond to any addresses on the imb3. access to the ram control register block is not affected when the array is disabled. ramds is cleared by the dptram module when a base address is written to the array address field of rambar. ramds = 0: ram enabled ramds = 1: ram disabled misrh ? multiple input signature register high 0x30 0006 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-6 18.3.5 misc counter (miscnt) the miscnt contains the address of the current misc memory access. this registers is read-only. note that the naming of the a[31:0] bits represents little-endian bit encod- ing. exiting tpu3 emulation mode or clearing the misen bit in the dptmcr results in the reset of this register. 18.4 operation the dptram module has several modes of operation. the following sections de- scribe dptram operation in each of these modes. 18.4.1 normal operation in normal operation, the dptram is powered by v ddl and may be accessed via the imb3 by a bus master. read or write accesses of 8, 16, or 32 bits are supported. in normal operation, neither tpu3 accesses the array, nor do they have any effect on the operation of the dp- tram module. 18.4.2 standby operation the dptram array uses a separate powe r supply vddsram to maintain the con- tents of the dptram array during a power-down phase. when the ram array is powered by the vddsram pin of the mcu, access to the ram array is blocked. data read from the ram array during this condition cannot be guar- anteed. data written to the dptram may be corrupted if switching occurs during a write operation. d31 d30 d29 d28 d27 d26 d25 d24 d23 d22 d21 d20 d19 d18 d17 d16 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 misrl ? multiple input signature register low 0x30 0008 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 d15 d14 d13 d12 d11 d10 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 miscnt ? misc counter 0x30 000a msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 lsb 15 reserved a12a11a10a9a8a7a6a5a4a3a2a1 a0 reset: last memory address misrh ? multiple input signature register high 0x30 0006 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-7 in order to guarantee valid dptram data during power-down, external low voltage in- hibit circuitry (external to the mcu) must be designed to force the reset pin of the mcu into the active state before vddl drops below its normal limit. this is necessary to inhibit spurious writes to the dptram during power-down. 18.4.3 reset operation when a synchronous reset occurs, a bus master is allowed to complete the current access. thus a write bus cycle (byte or half word) that is in progress when a synchro- nous reset occurs will be completed without error. once a write already in progress has been completed, further writes to the ram array are inhibited. note a word (32-bit) write will be completed coherently only if the reset oc- curs during the second (16-bit) write bus cycle. if reset occurs during the first write bus cycle, only the first half word will be written to the ram array and the second write will not be allowed to occur. in this case, the word data contained in the dptram will not be coherent. the first half word will contain the most significant half of the new word information and the second half word will contain the least sig- nificant half of the old word information. if a reset is generated by an asynchronous reset such as the loss of clocks or software watchdog time-out, the contents of the ram array are not guaranteed. (refer to sec- tion 7 reset for a description of MPC555 / mpc556 reset sources, operation, con- trol, and status.) reset will also reconfigure some of the fields and bits in the dptram control registers to their default reset state. see the descripti on of the control registers to determine the effect of reset on these registers. 18.4.4 stop operation setting the stop control bit in the dptmcr causes the module to enter its lowest power-consuming state. the dptmcr can still be written to allow the stop control bit to be cleared. in stop mode, the dptram array cannot be read or written. all data in the array is re- tained. the biu continues to operate to allow the cpu to access the stop bit in the dptmcr. the system clock remains stopped until the stop bit is cleared or the dp- tram module is reset. the stop bit is initialized to logical zero during reset. only the stop bit in the dpt- mcr can be accessed while the stop bit is asserted. accesses to other dptram registers may result in unpredictable behavior. note also that the stop bit should be set and cleared independently of the other control bits in this register to guarantee proper operation. changing the state of other bits while changing the state of the stop bit may result in unpredictable behavior. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-8 switching to vddsram occurs if vddl drop s below its specified value when the ram module is in stop mode. the dptram will not enter stop mode if ei ther or both of the tp1emm or tp2emm signals are asserted, indicating tpu3 emulation mode. 18.4.5 freeze operation the freeze line on the imb3 has no effect on the dptram module. when the freeze line is set, the dptram module will operate in its current mode of operation. if the dp- tram module is not disabled, (ramds = 0) , it may be accessed via the imb3. if the dptram array is being used by the tpu in emulation mode, the dptram will still be able to be accessed by the tpu microengine. 18.4.6 tpu3 emulat ion mode operation to emulate tpu3 time functions, the user stores the microinstructions required for all time functions to be used, in the ram array. this must be done with the dptram in its normal operating mode and accessible from the imb3. after the time functions are stored in the array, the user places one or both of the tpu3 units in emulation mode. the ram array is then controlled by the tpu3 units and disconnected from the imb3. to use the dptram for microcode accesses, set the emu bit in the corresponding tpu3 module configuration register. through the auxiliary buses, the tpu3 units can access word instructions simultaneously at a rate of up to 40 mhz. when the ram array is being used by either or both of the tpu3 units, all accesses via the imb3 are disabled. the control registers have no effect on the ram array. ac- cesses to the array are ignored, allowing an external ram to replace the function of the general-purpose ram array. the contents of the ram are validated using a multiple input signature calculator (misc). misc reads of the ram are performed only when the MPC555 / mpc556 is in emulation mode and the misc is enabled (misen = 1 in the dptmcr). refer to 17.3.6 emulation support for more information in tpu3 and dptram op- eration in emulation mode. 18.5 multiple input signa ture calculator (misc) the integrity of the ram data is ensured through the use of a misc. the ram data is read in reverse address order and a unique 32-bit signature is generated based on the output of these reads. misc reads are performed when one of the tpu3 modules does not request back-to-back accesses to the ram provided that the misen bit in the dptmcr is set. the misc generates the dptram signature based on the following polynomial: gx () 1xx 2 x 22 x 31 ++ + + = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-9 after the entire ram has been read and a signature has been calculated, the misc sets the misf bit in the dptmcr. the host should poll this bit and enter a handling routine when the bit is found to be set. the signature should be then read from the misrh and misrl registers and the host determines if it matches the predetermined signature. the misrh and misrl registers are updated each time the misc completes reading the entire ram regardless of whether or not the previous signature has been read or not. this ensures that the host reads the most recently generated signature. the misc can be disabled by clearing the misen bit in the dptmcr. note that the reset state of the MPC555 / mpc556 misen is disabled. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 dual-port tpu ram (dptram) motorola user?s manual rev. 15 october 2000 18-10 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-1 section 19 cdr monet flash eeprom 19.1 introduction the two cdr monet flash eeprom modules (cmf) serve as electrically program- mable and erasable non-volatile memory (nvm) to store system program and data. the modules are designed to be used with the unified bus (u-bus). the cmf arrays use motorola?s one-transistor (monet) bi t cell technology. the MPC555 / mpc556?s total 448-kbytes of flash eeprom non-volatile memory are distributed between two cmf eeprom modules: a 256-kbyte array and a 192-kbyte array. the erase block size is 32 kbytes. each cmf eeprom module is arranged into two major sections. the first section is the flash eeprom array used to store system program and data. the second section is the bus interface unit (biu) that controls access and operation of the array through a standard u-bus interface and the external signals epee (external program or erase enable) and vpp (supply program or erase power). each cmf eeprom module array is divid ed into blocks to allow for independent erase, access state, and protection from program and erase for each block. informa- tion is transferred to the cmf eeprom through the u-bus a word (32 bits), half-word (16 bits), or byte at a time. the biu accesses 32 bytes of information in the array at a time. these bytes are cop- ied into a read-page buffer aligned to the low order addresses, addr[27:31]. each cmf module contains two non-overlapping page buffers. the first page buffer is as- sociated with array blocks zero to three. the second page is associated with array blocks four to seven (for cmf module a), or blocks four to five (for cmf module b). read access time for data in the page buff ers (on-page read) is one system clock. the read access time for a new page of data (o ff-page read) is two system clocks. to pre- vent the biu from accessing an unnecessary page from the array, the cmf eeprom monitors the u-bus address to determine whether the required information is in one of the two current pages and whether the access is valid for the module. burst accesses are not supported by the cmf eeprom. in normal operation, write accesses to the cmf array are not recognized. the cmf eeprom module requires an external program or erase voltage (vpp) to program or erase the array or any of its control register shadow bits. special hardware interlocks and the external signal epee prot ect the array from accidental enabling of program and erase operation. the program and erase algorithms are implemented by a series of writes to the cmf eeprom registers and are under software control. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-2 up to eight unique 64-byte pages are programmed simultaneously in eight separate array blocks of each of the two cmf modules. each of the pages being programmed simultaneously is located at the same block offset address, addr[17:25]. erasing is performed on one or more of the selected block(s) simultaneously. 19.1.1 MPC555 / mpc556 cmf features  motorola?s one-transistor (monet) bit cell  reset configuration stored in special flash nvm locations  array sizes of 256 kbytes and 192 kbytes  arrays distributed in 32-kbyte blocks ? erase by block(s) ? block protection for program and erase operations ? block access state control  select between supervisor and supervisor/user spaces  select between data and instruction/data spaces ? 32-bit word length  page mode read ? retains two separate pages per cmf module ? page size of 32 bytes (eight words) ? off-page read access time of two system clocks ? on-page read access time of one system clock  supports u-bus pipelined accesses to a pipe depth of two  program up to 512 bytes at a time per cmf module ? program up to eight unique 64-byte pages of data in eight separate blocks si- multaneously (cmf module a) ? program up to six unique 64-byte pages of data in six separate blocks simul- taneously (cmf module b) ? program cmf module a and cmf module b at the same time ? pages located at the same offset address  self-timed program and erase pulses ? internal pulse width timing control generates pulses from 4.00 s to 2.73 s us- ing system clock frequencies from 8.0 mhz to 40.0 mhz  censored access mode with a user bypass for uncensored access per cmf mod- ule  external 4.75 to 5.25-v vpp program and erase power supply  external program or erase enable signal (epee)  cmf arrays are hardware mapped to the first 512 kbytes of the 4-mbyte internal address space of the u-bus  supports external emulation 19.1.2 glossary of terms for the cmf eeprom  array block ? 32-kbyte contiguous block of information. each array block may be erased independently.  biu ? bus interface unit controls access and operation of the cmf array through a standard u-bus interface.  cleared censorship ? censor[0:1] = 00. the cmf eeprom can change to f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-3 either no censorship or information censorship without modifying the cmf array contents. cleared censorship will prev ent cmf array accesse s when the device is censored and access = 0.  erase interlock write ? a write to any cmf array address after initializing the erase sequence.  erase margin read ? special off-page read of the cmf array where the cmf eeprom hardware adjusts the reference of the sense amplifier to check for cor- rect erase operation. all cmf array off-page read accesses between the erase interlock write and clearing the ses bit are erase margin reads.  information censorship ? censor[0:1] = 11. requires an erase of the cmf eeprom to change censor [0:1]. information censorship will prevent cmf ar- ray accesses when the device is censored and access = 0. information stored in the cmf array is made invalid while clearing censor[0:1].  initialize program /erase sequence ? the write to the high voltage control reg- ister that changes the ses bit from a zero to a one.  monet ? the cmf eeprom?s flash bit cell.  no censorship ? censor[0:1] = 10 or 01, the cmf eeprom can change to information censorship without modifying the cmf array contents. no censorship allows all cmf array accesses.  off-page read ? array read operation that requires two clocks and updates a page buffer.  on-page read ? array read operation that accesses information in one of the read page buffers and requires one clock.  over-programmed ? by exceeding the specified programming time and/or volt- age a cmf bit may be over-programmed. this bit will cause erased bits on the same column in the same array block to read as programmed.  programming write ? a word write to a cmf array address to transfer informa- tion into a program page buffer. the cmf eeprom accepts programming writes from after initializing the program sequence until the ehv bit is changed from a zero to a one.  program margin read ? special off-page read of the cmf array where the cmf eeprom hardware adjusts the reference of the sense amplifier to check for cor- rect program operation. all cmf array off-page read accesses between the first programming write and clearing the ses bit are program margin reads.  program page buffer ? 64 bytes of information used to program the cmf array. this information is aligned to a 64-byte boundary within the cmf array block. each cmf module has one program page buffer for each array block.  read page buffer ? 32-byte block of information that is read from the cmf ar- ray. this information is aligned to a 32-byte boundary within the cmf array. each cmf module has two read page buffers.  shadow information ? an extra row (256 bytes) of the cmf array used to pro- vide reset configuration information. this row may be accessed by setting the sie bit in the module configuration register and accessing the cmf array. the shad- ow information is always in the lo west array block of the cmf array. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-4 19.2 programming model the cmf eeprom module consists of two addressed sections. the first is the 32- byte control registers used to configure, program, erase and test the cmf eeprom array while, the second is the array. figure 19-1 shows the part of the MPC555 / mpc556 memory map involving the cmf arrays and control registers. refer to 1.3 MPC555 / mpc556 address map for the complete memory map. figure 19-1 cmf array and control register addressing 19.2.1 cmf eeprom control registers the control registers are used to control cmf eeprom module operation. they re- side in supervisor data space. on master reset the registers are loaded with default reset information. some of the registers are special cmf nvm registers which retain their state when power is removed from the cmf eeprom. these special flash nvm registers are identified in the individual register field and control bit descriptions. the cmf eeprom control registers are accessible for read or write operation at all times while the device is powered up except during master reset, soft reset or erase interlock write. the access time of a cmf register is one system clock for both read and write access- es. accesses to reserved registers will cause the biu to generate a data error excep- tion. 0x2f usiu & flash 16 kbytes usiu control registers flash module a (64 bytes) flash module b (64 bytes) kbytes 0x2f c 000 (2.6 mbytes ? 16 kbytes) 0x2f r eserved for siu 1 kbyte bfff ffff 0x2f c000 0x2f c800 0x2f c840 cmf flash reserved for flash control 448 0x08 0000 0x00 0000 0x06 ffff 0x2f c880 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-5 table 19-1 is a programming model for each set of cmf eeprom control registers. the address offset is from the start of the control register block for each cmf module. (see figure 19-1 .) 19.2.1.1 cmf eeprom config uration register (cmfmcr) the cmf eeprom module configuration register is used to control the operation of the cmf eeprom array and biu. two bits (the censor bits) of the cmfmcr bits are special flash nvm registers. the factory default state of the censor bits is either 0b01 or 0b10. 1. the reset state of bits 6:7 are defined by special flash nvm registers. the factory default state is either 0b01 or 0b10. table 19-1 cmf regist er programmer?s model address register control registers (located in supervisor data space) 0x2f c800 0x2f c840 cmf module configuration register (cmfmcr) see table 19-2 for bit descriptions. 0x2f c804 0x2f c844 cmf eeprom test register (cmftst) see table 19-3 for bit descriptions. 0x2f c808 0x2f c848 high voltage control register (cmfctl) see table 19-6 for bit descriptions. 0x2f c80c ? 0x2f c81c 0x2f c84c ? 0x2f c85c reserved cmf flash array 0x00 0000 ? 0x03 ffff cmf_a ram array 0x04 0000 ? 0x06 ffff cmf_b ram array cmfmcr ? cmf eeprom configuration register 0x2f c800 0x2f c840 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 lock 0 0 fic sie ac- cess censor 1 supv[0:7] reset: 1 0 0 0 0 0 1 1 1 1 1 1 1 1 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 data[0:7] protect[0:7] reset: 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 lsb 31 msb 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-6 table 19-2 cmfmcr bit descriptions bit(s) name description 0lock lock control. when the lock control bit is cleared, the following bits are locked: fic, supv[0:7], data[0:7] and protect[0:7]. writes to these bits will have no effect. in normal operation, once the lock bit is cleared, the write-lock can only be disabled again by a master reset. the lock bit is writable if the device is in background debug mode and csc = 0. 0 = write-locked registers are protected 1 = write-lock is disabled (reset state) warning : if the lock protection mechanism is enabled (lock = 0) before the protect[0:7] bits are cleared, the device must use background debug mode to program or erase the cmf array. 1:2 ? reserved 3fic force information censorship for access development. refer to 19.8 censored and non-cen- sored accesses for details. the fic bit is write protected by the lock . if fic = 1 it cannot be cleared except by a hard reset. 0 = normal cmf censorship operation 1 = forces the cmf into information censorship mode, unless access = 1 4sie shadow information enable. refer to 19.3 shadow information for details. the sie bit is write protected by the ses bit for programming operation. writes have no effect if (ses = 1 and pe = 0). the sie bit can be read whenever the registers are enabled. 0 = normal array access 1 = disables normal array access and selects the shadow information 5access enable uncensored access. refer to 19.8 censored and non-censored accesses for details. writes to this bit have no effect when csc = 1. this bit can be set only when the mcu is in un- censored mode. 0 = censored cmf array access allowed only if the cmf censorship is no censorship, (fic = 0 and censor[0] censor[1]) 1 = allows all cmf array access. 6:7 censor censor accesses. the value of these bits is determined by the state of two nvm bits in two spe- cial nvm fuses. refer to 19.8 censored and non-censored accesses for details. the default reset state of censor is user defined by the flash nvm register bits. 00 = cleared censorship, cmf array access allowed only if device is in uncensored mode or access = 1 01 = no censorship, all cmf array accesses allowed 10 = no censorship, all cmf array accesses allowed 11 = information censorship, cmf array access allowed only if device is in uncensored mode or access = 1 8:15 supv[0:7] supervisor space. each array block can be mapped into supervisor or unrestricted address space. when an array block is mapped into supervisor address space, only supervisor accesses are allowed. a user access to a location in supervisor address space will result in a data error exception. when an array block is mapped into unrestricted address space, both supervisor and user accesses are allowed. the supv[0:7] bits are write protected by the lock and csc bits. writes will have no effect if lock =0 or csc=1. 0 = array block m is placed in unrestricted address space 1 = array block m is placed in supervisor address space (reset value) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-7 19.2.1.2 cmf eeprom test register (cmftst) the cmf eeprom test register (cmftst) is used to control the test operation of the cmf array. only six bits [20:23, 25:26] are readable or writeable in normal operation. 16:23 data[0:7] data space. each array block can be mapped into data or both data and instruction address space. when an array block is mapped into data address space (data[m] = 1) only data ac- cesses are allowed. an instruction access to a location in data address space will result in a data error exception. when an array block is mapped into both data and instruction address space (data[m] = 0), both data and instruction accesses are allowed. the data[0:7] bits are write protected by the lock and csc bits. writes have no effect if lock = 0 or csc = 1. 0 = array block m is placed in both data and instruction address spaces (reset value) 1 = array block m is placed in data address space 24:31 protect [0:7] block protect. each array block of the cmf eeprom can be protected from program and erase operation by setting protect[m] = 1. the cmf biu will perform all programming and erase interlocks except the program and erase voltages will not be applied to monet locations within the protected array block(s). writes to protect[0:7] have no effect if lock = 0 or csc = 1 or ses = 1. 0 = array block m is unprotected 1 = array block m is protected (default value) warning : if a cmf eeprom enables the lock protection mechanism (lock = 0) before protect is cleared, the device must use background debug mode to program or erase the cmf eeprom. cmftst ? cmf eeprom test register 0x2f c804 0x2f c844 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved nvr 1 notes: 1. the nvr, ste, and gdb bits are not accessible in all revisions of the MPC555 / mpc556 (2k02a mask sets and earlier). paws re- serve d ste 1,2 2. the ste bit should always be programmed as a 0. gdb 1 reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 19-2 cmfmcr bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-8 table 19-3 cmftst bit descriptions bit(s) name description 0:19 ? reserved 20 nvr negative voltage range. this bit switches between the low and high voltage range of the nega- tive charge pump in programming and erasing the cmf flash module when gdb = 0b1. this bit is writeable when hvs = 0b0. 0 = high range (more negative) 1 = low range 21:23 paws [0:2] program amplitude/width modulation select. the paws bits, in conjunction with the nvr and gdb bits can be used to select the programming voltage applied to the drain or gate of the ee- prom bitcell. these bits should be left set to 0b000, unless advised by motorola. for informa- tion about paws programming modes, see table 19-4 and table 19-5 . 24 ? reserved 25 ste this bit is reserved for motorola factory testing and should always be programmed to 0b0. 0 = normal operation 1 = factory test mode use only. this setting could disturb contents of flash. 26 gdb gate/drain bias select. this bit works in conjunction with the paws bits to select between pos- itive and negative ramped voltages for programing and erasing. this bit is writeable when ses = 0b0. 0 = positive voltage ramp selected on the bitcell drain. 1 = negative voltage ramp selected on the bitcell gate. 27:31 ? reserved table 19-4 cmf pr ogramming algorithm (v6 and later) no. of pulses pulse width nvr paws gdb paws mode description 4 256 s 1 100 1 mode 4nl negative gate ramp (low range) 4 256 s 1 101 1 mode 5nl 4 256 s 1 110 1 mode 6nl 4 256 s 1 111 1 mode 7nl 20 50 s 0 100 1 mode 4nl negative gate ramp (high range) 20 50 s 0 101 1 mode 5nl 20 50 s 0 110 1 mode 6nl max. 48,000 50 s 0 111 1 mode 7nl f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-9 19.2.1.3 cmf eeprom high volt age control register (cmfctl) the cmf eeprom high voltage control register is used to control the program and erase operations of the cmf eeprom module and setting and clearing censor[0:1] fuses. refer to 19.7 voltage control for programming and erasing for more infor- mation on this register. table 19-5 cmf erase algorithm (v6) no. of pulses pulse width nvr paws gdb paws mode description 1 100 ms 1 notes: 1. no margin read after pulse. 1 100 1 mode 4nl negative gate ramp (low range) 1 100 ms 1 1 101 1 mode 5nl 1 100 ms 1 1 110 1 mode 6nl 1 100 ms 1 1 111 1 mode 7nl 1 100 ms 1 0 100 1 mode 4nl negative gate ramp (high range) 1 100 ms 1 0 101 1 mode 5nl 1 100 ms 1 0 110 1 mode 6nl 20 100 ms 2 2. do margin read after each pulse. 0 111 1 mode 7nl cmfctl ? cmf eeprom high voltage control register 0x2f c808 0x2f c848 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 hvs 0 sclkr 0 clkpe 0 clkpm poreset/hreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 sreset: u u u u u u u u u u u u u u u u 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 block[0:7] 0 csc epee 0 0 pe ses ehv poreset/hreset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 sreset: u u u u u u u u u u u u u u u u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-10 table 19-6 cmfctl bit descriptions bit(s) name description 0hvs high voltage status. during a program or erase pulse this bit is set while the pulse is active or during recovery. the biu does not acknowledge an access to an array location if hvs = 1. while hvs = 1, ses cannot be changed. this bit is read only; writes have no effect. 0 = program or erase pulse is not applied to the cmf array or shadow information 1 = program or erase pulse is applied to the cmf array or shadow information 1?reserved 2:4 sclkr system clock range. these bits are write protected by the ses bit. writes to cmfctl do not change sclkr[0:2] if ses = 1. the default reset state of sclkr[0:2] = 000 for a clock scaling of 1. 000 = clock scaling of 1 (not for customer use.) 001 = clock scaling of 1 010 = clock scaling of 3/2 011 = clock scaling of 2 100 = clock scaling of 3 101 = clock scaling of 4 110 = reserved 111 = reserved refer to 19.7.3 system clock scaling for instructions on selecting a clock scaling factor. 5?reserved 6:7 clkpe clock period exponent. the clkpe, csc, and pe fields determine the value of the exponential clock multiplier, n. refer to 19.7.4 exponential clock multiplier for details. the clkpe bits are write protected by the ses bit. writes to cmfctl will not change clkpe if ses = 1.the default reset state of clkpe is 00. 8?reserved 9:15 clkpm clock period multiple. this field determines the linear clock multiplier, m, according to the follow- ing equation: m = 1 + clkpm[0:6] the clkpm bits are write protected by the ses bit. writes to cmfctl will not change clkpm if ses = 1. the reset state of clkpm = 0, for a multiplier of 1. refer to 19.7.5 linear clock multiplier for more information. 16:23 block [0:7] block program and erase select. the cmf eeprom array blocks that are selected to be pro- grammed or erased are the blocks for which block[m] = 1. bit 16 controls block 0 and bit 23 controls block 7. on the 192-kbyte array (flash module b), blocks 6 and 7 are not available, but these bits need to be set when doing a clear censor oper- ation. warning: the block bit must be set only for the blocks currently being programed. if the block bits are set for blocks that are not being programmed, the contents of the other blocks could be disturbed. the block[0:7] bits are write protected by the ses bit. writes to cmfctl will not change block[0:7] if ses = 1. block[0:7] default reset state is 0x00, not selected for program or erase. 0 = array block m is not selected for program or erase 1 = array block m is selected for program or erase 24 ? reserved 25 csc censor set or clear. csc configures the cmf eeprom for setting or clearing the censor bits. if csc=1 then censor is configured for setting if pe = 0 or clearing if pe = 1. for more infor- mation on setting or clearing the censor bits see section 19.8.4 setting and clearing cen- sor . the csc bit is write protected by the ses bit. writes to cmfctl will not change csc if ses = 1. 0 = configure for normal operation (default value) 1 = configure to set or clear the censor bits f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-11 19.2.2 cmf eeprom array addressing the cmf eeprom array is addressed when an internal access has been initialized and addr[10:13] matches the array hardware mapping address. the cmf array lo- cation selected is determined by addr[14 :29] and the bytes are selected by ad- dr[30:31] and internal size[0:1] information. table 19-6 and table 19-7 show the internal mapping of the flash array. information in the array is accessed in 32-byte pages. for each cmf module, two read page buffers are assigned to the low order addresses (addr[27:31]). the first page buffer is assigned to blocks zero to three; the second to blocks four to seven (for cmf module a) or four to five (for cmf module b). access time for data in the read page buffe rs is one system clock; access time for an off-page read is two system clocks. to pr event the biu from accessing an unneces- sary page from the array, the cmf eeprom monitors the u-bus address to determine whether the required information is within one of the two read page buffers and the ac- cess is valid for the module. this strategy allows the cmf eeprom to have a two- clock read for an off-page access and one clock for an on-page access. the biu does not recognize write accesses to the cmf array. 26 epee epee pin status bit. the epee bit monitors the state of the external program/erase enable (epee) pin. epee has a digital filter that requires two consecutive samples to be equal before the output of the filter changes. the cmf samples epee when ehv is asserted and holds the epee state until ehv is negated. epee is a read-only bit; writes have no effect. 0 = high voltage operations are not possible 1 = high voltage operations are possible refer to 19.9.1 e pee signal for more information. 27:28 ? reserved 29 pe program or erase select. pe configures the cmf eeprom for programming or erasing. when pe = 0, the array is configured for programming and if ses = 1 the sie bit will be write locked. when pe = 1, the array is configured for erasing and ses will not write lock the sie bit. the pe bit is write protected by the ses bit. writes to cmfctl will not change pe if ses = 1. 0 = configure for program operation (default value) 1 = configure for erase operation 30 ses start-end program or erase sequence. the ses bit is write protected by the hvs and ehv bits, unless the paw bits are set to 0b1xx. writes to cmfctl will not change ses if hvs = 1 or ehv = 1. refer to 19.7.7 starting and ending a program or erase sequence for more information. 0 = cmf eeprom not configured for program or erase operation 1 = configure cmf eeprom for program or erase operation 31 ehv enable high voltage. ehv can be asserted only after the ses bit has been asserted and a valid programming write(s) or erase hardware interlock write has occurred. if an attempt is made to assert ehv when ses is negated, or if a valid pr ogramming write(s) or erase hardware interlock write has not occurred since ses was asserted, ehv will remain negated. 0 = program or erase pulse disabled 1 = program or erase pulse enabled table 19-6 cmfctl bit de scriptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-12 19.2.2.1 read page buffers each cmf array has two 32-byte read page buffers. the fully independent buffers are located in two separate read sections of the array. each page buffer status and ad- dress are monitored in the biu. the status of the read page buffers is made invalid by any of the following operations:  reset  programming write  erase interlock write  setting ehv  clearing ses  setting or clearing sie each access to the cmf eeprom array determines whether the requested location is within the current pages. if the requested location is not within the read page buffers, the correct read page buffer is made invalid, and a new page of information is fetched from the array. the page buffer address is updated and status is made valid. if the re- quested location is within one of the current page buffers or has been fetched from the array, the selected bytes are transferred to the u-bus, completing the access. cmf eeprom array accesses that make the page buffer(s) invalid (off-page reads) require two system clocks. cmf eeprom array accesse s that do not make the page buffer(s) table 19-7 eeprom array addressing 0123456789 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 2 0 2 1 2 2 2 3 2 4 2 5 2 6 2 7 2 8 2 9 3 0 3 1 0000000 usiu internal mapping array hardware mapping block address row address column address byte addr table 19-8 cmf eeprom array address fields bit(s) field description 0:6 ? the seven high-order address bits of a cmf eeprom array access (or any MPC555 / mpc556 internal access) must equal zero. 7:9 usiu internal mapping these bits (programmed in the usiu internal memory map register) specify one of eight locations for the MPC555 / mpc556 internal memory map. 10:13 array hardware mapping these bits determine the location of each array within the MPC555 / mpc556 internal memory map. values are as follows: flash module a = 0000 flash module b = 0001 14:16 block address these three bits specify one of eight 32-kbyte blocks within cmf module a (000 to 111), or one of six 32-kbyte blocks within cmf module b (000 to 101) 17:23 row address these seven bits select one of 128 rows within the 32-kbyte block. 24:29 column address these six bits select one of 64 (word-length) columns within the row. note also the follow- ing: addr[24:26] select a 32-byte read page. addr[27:29] represent the read page word address. addr[24:25] select a 64-byte program page. addr[26:29] represent the program page word address. 30:31 byte address bits 30:31 select a byte within the column. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-13 invalid (on-page reads) requ ire one system clock. read buffer management is trans- parent to user software and is taken care of in hardware. 19.2.2.2 program page buffers the cmf eeprom modules a and b can program up to eight and six 64-byte pages at one time, respectively. each program page buffer is located in one program section. all program page buffers within a cmf module share the same block offset address. the block offset address is extracted from the address of the first programming write. to select the cmf eeprom ar ray block that will be programmed, the program page buffers use the cmf eeprom array configuration and block[0:7]. the array block that will be programmed is selected by the block bit that is set. if block[m] = 1 then program buffer[m] is active and array block[m] is selected for pro- gramming. bits in the program page buffers select the non-program state if ses = 0. during a pro- gram margin read, the program buffers update bits to the non-program state for bits that correspond to array bits that the program margin read has determined are pro- grammed. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-14 19.2.2.3 array configur ation for cmf module a 64-byte program page buffer 1 32-kbyte array block 0 and 0x0 0000 ? 0x0 7fff 1 shadow information 32-kbyte array block 1 0x0 8000 ? 0x0 ffff 64-byte program page buffer 0 64-byte program page buffer 2 32-kbyte array block 3 0x1 8000 ? 0x1 ffff 32-kbyte array block 2 0x1 0000 ? 0x1 7fff 32-byte read page buffer 0 64-byte program page buffer 3 64-byte program page buffer 5 32-kbyte array block 4 0x2 0000 ? 0x2 7fff 32-kbyte array block 5 0x2 8000 ? 0x2 ffff 64-byte program page buffer 4 bus interface unit (biu) 64-byte program page buffer 6 3-2kbyte array block 7 0x3 8000 ? 0x3 ffff 32-kbyte array block 6 0x3 0000 ? 0x3 7fff 32-byte read page buffer 1 64-byte program page buffer 7 1 . if sie=1, then the shadow row is enabled instead of the flash block. shadow locations 0x00 to 0x03 are reserved for the internal flash reset configuration word. shadow locations 0x04 to 0x0f are reserved by motorola for possible future use. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-15 19.2.2.4 array configur ation for cmf module b 19.3 shadow information programming the shadow information uses the same procedure as programming the array, except that there are only 256 bytes available in the shadow row. before starting the program sequence sie must equal one. the sie bit is write protected by the ses bit for programming operation. writes will have no effect if (ses = 1 and pe = 0). the sie bit can be read whenever the registers are enabled. when sie = 1, normal array accesses are disabled and the shadow information is en- abled. when an array location is read using supervisor data in this mode, the shadow information is read from a location determined by the column, 32-byte read page select and read page word addresses (addr[24:29]) of the access. accessing the cmf con- trol block registers accesses the register s and not the shadow information. the read page buffer address monitor is reset whenever sie is modified, making the next cmf array access an off-page access. the default reset state of sie is normal array access (sie = 0). 64-byte program page buffer 4 32-kbyte array block 4 0x6 0000 ? 0x6 7fff 64-byte program page buffer 5 32-kbyte array block 5 0x6 8000 ? 0x6 ffff 32-byte read page buffer 1 64-byte program page buffer 1 32-kbyte array block 0 and 0x4 0000 ? 0x4 7fff 1 shadow information 32-kbyte array block 1 0x4 8000 ? 0x4 ffff 64-byte program page buffer 0 bus interface unit (biu) 64-byte program page buffer 2 32-kbyte array block 3 0x5 8000 ? 0x5 ffff 32-kbyte array block 2 0x5 0000 ? 0x5 7fff 32-byte read page buffer 0 64-byte program page buffer 3 1. if sie=1, then the shadow row is enabled instead of the flash block. locations 0x4 00000 to 0x4 000f of the shadow row are reserved by motorola for possible future use. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-16 note when sie = 1, only program page buffer zero can be programmed. all programming writes to the cmf will be directed to program page buffer 0 if sie = 1. in addition, the other program page buffers cannot be accessed and will not apply any programming voltages to their cmf array blocks while programmi ng the shadow information. 19.3.1 address range of shadow information the address range of the shadow information is the entire address range of the cmf eeprom array but the high order array ad dresses, addr[14:23], are not used to en- code the location. the first 16 bytes (addr[24:29] = 0x00 to 0x0f) of the 256 bytes of shadow locations are withheld by motorola for the reset configuration word and future applications. the remaining 240 bytes are available as supervisor data. this is shown in figure 19-2 . figure 19-2 sh adow information 19.3.2 reset configuration word (cmfcfig) the cmf eeprom reset configuration word is implemented in the first word (ad- dr[24:29] = 0x00) of the special shadow locations. the reset configuration word along with the rest of the shadow information words is located in supervisor data address space. the purpose of the reset configuration word is to provide the system with an alternative internal source for the reset configuration. note that with the exception of bit 20, the bits in the cmfcfig are identical to those in the usiu hard reset configuration word. refer to 7.5.2 hard reset configuration word for descriptions of these bits. 0x00 0xff 0x10 0x0f reset configuration word general-use monet shadow information reserved for future applications 0x04 0x03 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-17 during reset the hc bit (?has configuration,? bit 20) and the usiu configure the cmf eeprom module to provide cmfcfig. if hc = 0 and the usiu requests internal con- figuration during reset the reset configuration word will be provided by cmfcfig. the default reset state of the cmfcfig after an erase operation of the cmf module a block 0 is no configuration word available (hc = 1). 19.4 array read operation the cmf eeprom array is available for read operation under most conditions while the device is powered up. reads of the array are not allowed under any of the following conditions:  during master or soft reset  access = 0 and censor[0:1] = 11 or 00  while the cmf eeprom is disabled during programming and erase operations, while the high voltage is applied to the ar- ray, the biu does not acknowledge a cmf array read. at certain points, as defined in the program or erase sequence, reading the array results in a margin read. these mar- gin reads return the status of the program or erase operation and not the data in the array. the type of cmf eeprom array read is determined by comparing the address of the requested information with the address of the read page buffers. if the requested ad- dress is not within one of the read page buffers or if the read page buffer has been made invalid, an off-page read results. this read updates the read page buffer address of the selected array block, copies the information from the array into the read page buffer, and drives a word onto the data bus. the off-page read requires a minimum of two clocks, while margin off-page reads require additional clocks. if the address of the requested information is within the address ranges of either of the read page buffers, an on-page read is performed. this requires one clock to transfer information from the read page buffer onto the data bus. see section 19.2.2 cmf ee- prom array addressing for more information on array accesses. cmfcfig ? hard reset configuration word msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 earb ip bdrv bdis bps reserved dbgc dbpc atwc ebdf re- served lsb 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 prpm sc etre flen en_ comp exc_ comp reserved isb dme f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-18 19.5 programming the cmf array to modify the charge stored in the isolated element of the cmf bit from a logic one state to a logic zero state, a programming operation is required. this programming operation applies the required voltages to change the charge state of the selected bits without changing the logic state of any other bits in the cmf array. the program oper- ation cannot change the logic zero state to a logic one state; this must be done by the erase operation. programming uses a set of program buffers of 64 bytes each to store the required data, an address offset buffer to store the starting address of the block(s) to be programmed and a block select buffer that stores information on which block(s) are to be programmed. any number of the array blocks may be programmed at one time. warning do not program any page more than once after a successful erase operation. while this will not physically damage the array it will cause an increased partial disturb time for the unselected bits on the row and columns that are not programmed. if this happens, a full erase of all blocks being programmed must be done before the cmf eeprom can be used reliably. if block m of the cmf eeprom is protected (protect[m] = 1), it will not be pro- grammed. also, if epee = 0, no programming voltages will be applied to the array. software should verify the state of epee prior to programming (programming will fail if epee = 0). the user should also insure that the programming voltage (5.0 0.25 volts) is applied to vpp. 19.5.1 program sequence the cmf eeprom module requires a sequence of writes to the high voltage control register (cmfctl) and to the programming page buffer(s) in order to enable the high voltage to the array or shadow information for program operation. see table 19-4 for the programming algorithm bit settings. the required program sequence follows. 1. write protect[0:7] to disable prot ection on blocks to be programmed. 2. write paws to 0b100, write nvr = 1, write gdb = 1. 3. using 19.7.6 a technique to determine sclkr, clkpe, and clkpm , pro- gram the following fields: ? pulse width timing control fields for a program pulse ? block[0:7] to select the array blocks to be programmed ? pe = 0 in the cmfctl register 4. write ses = 1 in the cmfctl register. note step 4 can be accomplished with the same write as that in step 3. it is listed as a separate step in the sequence for looping. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-19 5. write to the 64-byte array locations to be programmed. this updates the pro- gramming page buffer(s) with the information to be programmed. the last write to a word within the program page buffer will be saved for programming. all ac- cesses of the array after the first write are to the same block offset address (addr[17:25]) regardless of the address provided. thus the locations access- ed after the first programming write are li mited to the page locations to be pro- grammed. off-page read accesses of the cmf array after the first programming write are program margin reads. (see section 19.5.2 program margin reads .) to select the cmf eeprom array block(s) to be programmed, the program page buffers use the cmf eeprom array configuration and block[0:7]. sub- sequent writes fill in the programming page buffers using the block address to select the program page buffer and the page word address (addr[26:29]) to select the word in the page buffer. 6. write ehv = 1 in the cmfctl register. note if a program buffer word has not received a programming write no programming voltages will be applied to the drain of the correspond- ing word in the array. also, at this point writes to the program page buffers are disabled until ses has been cleared and set. 7. read the cmfctl register until hvs = 0. 8. write ehv = 0. 9. to verify the programming, read the words of the pages that are being pro- grammed. these are program margin reads. (see 19.5.2 program margin reads .) if any bit is a 1 after reading all of the locations that are being pro- grammed, then another pulse needs to be applied to the these locations. if all the locations verify as programmed go to step 11. warning after a program pulse, read at least one location with addr[26] = 0 and one location with addr[26] = 1 on each programmed page. fail- ure to do so may result in the loss of information in the cmf eeprom array. while this will not physically damage the array a full erase of all blocks being programmed must be done before the cmf eeprom can be used reliably. for more information see 19.5.3 over-programming . to reduce the time for verification, read two locations in each program page that is being programmed after reading a non-programmed bit. the first location must be a location with addr[26] = 0, wh ile the second must use addr[26] = 1. in addition, after a location has been fully verified (all bits are programmed) it is not necessary to verify the location again, since no further programming voltages will be applied to the drain of the corresponding bits. this will reduce the time required to program the array. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-20 10. if the margin read is successful, then write ses = 0 in the cmfctl register, otherwise do the following: a. write new pulse width parameters (if required per table 19-4 ) - sclkr, clkpe, clkpm. b. write new values for paws, nvr, and gdb (if required per table 19-4 ). c. go back to step 6 to apply additional programming pulses. 11. if more information needs to be programmed, go back to step 2. figure 19-3 program state diagram s1 t1 t2 t3 s2 s4 t4 s3 s5 t5 t8 t7 t6 t9 reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-21 table 19-9 program interl ock state descriptions state mode next state transition requirement s1 normal operation: normal array reads and register accesses. the block protect information and pulse width timing control can be modified. s2 t2 write pe = 0, ses = 1 s2 first program hardware interlock write: normal read operation still occurs. the array will accept programming writes. accesses to the regis- ters are normal register accesses. a write to cm- fctl can not change ehv at this time. if the write is to a register, no data is stored in the program page buffers, and the cmf remains in state s2. s1 t1 write ses = 0 or a master reset s3 t3 hardware interlock a successful write to any cmf array lo- cation. this programming write latches the selected word of data into the pro- gramming page buffer and the address is latched to select the location to be programmed. once a bit has been writ- ten then it will remain in the program buffer until another write to the word or a write of ses = 0 or a program margin read determines that the state of the bit needs no further modification by the program operation. if the write is to a register no data will be stored in the program page buffers and the cmf will remain in state s2. s3 expanded program hardware interlock operation: program margin reads will occur. programming writes are accepted so that all program pages may be programmed. these writes may be to any cmf array location. the program page buffers will be updated using only the data, the lower address (addr[26:29]) and the block address. accesses to the registers are normal register accesses. a write to cmfctl can change ehv. if the write is to a register, no data is stored in the program page buff- er. s1 t6 write ses = 0 or a master reset s4 t4 write ehv = 1 s4 program operation: high voltage is applied to the array or shadow in- formation to program the cmf bit cells. the pulse width timer is active if sclkr[0:2] 0 and hvs can be polled to time the program pulse. no further programming writes are accepted. during pro- gramming the array does not respond to any ac- cess. accesses to the registers are allowed. a write to cmfctl can change ehv only. s1 t7 master reset s5 t5 write ehv = 0, disable the internal memory map or a soft reset. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-22 19.5.2 program margin reads the cmf eeprom provides a program margin read with electrical margin for the pro- gram state. program margin reads provide sufficient margin to assure specified data retention. the program margin read is enabled when ses = 1 and a programming write has occurred. to increase the access time of the program margin read, the off- page access time is four clocks instead of the usual two-clock off-page read access time. the program margin read and subsequent on-page program verify reads return a one for any bit that has not been completely programmed. bits that the programming write left in the non-programmed state return zero when read. bits that have completed programming return zero when read and update the data in the programming page buffer so that no further programming of those bits will occur. the program margin read occurs during the off-page read. a program margin read must be performed for all pages that are being programmed after each program pulse. s5 program margin read operation: these reads determines if the state of the bits on the selected page needs further modification by the program operation. once a bit is fully pro- grammed, the data stored in the program page is updated. no further programming occurs for that bit, and the value read is a 0. while it is not necessary to read all words on a page to determine if another program pulse needs to be applied, all pages being programmed must be read once after each program pulse. s4 t8 write ehv = 1 s1 t9 write ses = 0 or a master reset. table 19-10 results of programming margin read current data in the pro- gram page buffer 1 notes: 1. 0 = bit needs further programming 1 = bit does not need further programming current state of bit data read during margin read 2 2. a ?0? read during the margin read means that the bit does not need further programming. a ?1? means the bit needs to be programmed further. new data for the program page buffer 1 0 programmed (0) 0 1 0 erased (1) 1 0 1 programmed (0) 0 1 1 erased (1) 0 1 table 19-9 program interlock st ate descriptions (continued) state mode next state transition requirement f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-23 caution failure to read each page that is being programmed after each pro- gram pulse may result in the loss of information in the cmf eeprom array. while this will not physically damage the array a full erase of all blocks being programmed must be performed before the cmf ee- prom can be used reliably. for more information, see 19.5.3 over- programming . 19.5.3 over-programming either of the following events results in an over-programmed state:  programming a cmf bit without a program margin read after each program pulse  exceeding the specified program times or voltages once a cmf bit has been over-programmed, data in the array block (32 kbytes) that is located in the same column is lost, since the over-programmed bit causes the entire column to appear programmed. to restore an array block with an over-programmed bit, the block must be erased. 19.6 erasing cmf array blocks to modify the charge stored in the isolated element of the cmf bit from a logic zero state to a logic one state, an erase operation is required. the erase operation cannot change the logic one state to a logic zero state; this is accomplished by the program operation. in the cmf eeprom, erase is a bulk operation that affects the stored charge of all the isolated elements in an array block. to make the cmf module block-erasable, th e array is divided into blocks that are physically isolated from each other. each of the array blocks may be erased in isola- tion or in any combination. the cmf array bl ock size is fixed for all blocks in the mod- ule at 32 kbytes. cmf module a consists of eight array blocks; cmf module b consists of six blocks. array blocks of the cmf eeprom that are protected (pro- tect[m] = 1) are not erased. in addition, if epee = 0 no erase voltages are applied to the array. the array blocks selected for erase operation are determined by block[0:7] and the array configuration. 19.6.1 erase sequence the cmf eeprom module requires a sequence of writes to the high voltage control register (cmfctl) and an erase interlock write in order to enable the high voltage to the array and shadow information for erase operation. see table 19-5 for erase algo- rithm bit settings.the erase sequence follows. 1. write protect[0:7] to disable protect for the blocks to be erased. 2. write paws to 0b100, nvr to 1, and gdb to 1. 3. using 19.7.6 a technique to determine sclkr, clkpe, and clkpm , write the pulse width timing control fields for an erase pulse, block[0:7] to select the blocks to be erased, pe = 1 and ses = 1 in the cmfctl register. set the initial f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-24 pulse width bit settings per table 19-5 . 4. execute an erase interlock write to any cmf array location. 5. write ehv = 1 in the cmfctl register. 6. read the cmfctl register until hvs = 0. 7. write ehv = 0 in the cmfctl register. 8. to verify the erase operation, read all locations that are being erased, including the shadow information if the block containing it is erased. off-page reads are erase margin reads that update the read page buffer. (see section 19.6.2 erase margin reads .) if all the locations read as erased, go to step 9. note do not perform erase margin reads until reaching the condition paws=0b111, nvr=0 and gdb=1. 9. to reduce the time used for erase margin reads, upon the first read of a zero, do the following: a. write new pulse width parameters, sclkr, clkpe, and clkpm (if required per table 19-5 ). b. write new paws value (if required per table 19-5 ). c. write new values for nvr and gdb (if required per table 19-5 ). d. go back to step 5 to apply additional erase pulses. note after a location has been verified (all bits erased), it is not necessary to verify the location after subsequent erase pulses. 10. write ses = 0 in the cmfctl register. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-25 figure 19-4 erase state diagram s1 t1 t2 t3 s2 s4 t4 s3 s5 t5 t8 t7 t6 t9 reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-26 19.6.2 erase margin reads the cmf eeprom provides an erase margin read with electrical margin for the erase state. erase margin reads provide sufficient margin to ensure specified data retention. the erase margin read is enabled when ses = 1 and the erase write has occurred. the erase margin read and subsequent on-page erase verify reads return a zero for any bit that has not been completely erased. bits that have completed erasing return one when read. to increase the access time of the erase margin read, the off-page access time is 16 clocks instead of the usua l two clock off-page read access time. the erase margin read occurs during an off-page read. all locations within the block(s) be- ing erased must return one when read to determine that no more erase pulses are re- quired. 19.6.3 erasing shadow information words the shadow information words are erased with cmf array block zero. to verify that the shadow information words are erased, the sie bit in cmfmcr must be set to one table 19-11 erase interlock state descriptions state mode next state transition requirement s1 normal operation: normal array reads and register accesses. the block protect information and pulse width timing control can be modified. s2 t2 write pe = 1, ses = 1. s2 erase hardware interlock write: normal read operation still occurs. the cmf ac- cepts the erase hardware interlock write. this write may be to any cmf array location. accesses to the registers are normal register accesses. a write to cmfctl can not set ehv at this time. a write to the register is not an erase hardware interlock write, and the cmf remains in state s2. s1 t1 write ses = 0 or a master reset s3 t3 hardware interlock a successful write to any cmf array lo- cation is the erase interlock write. if the write is to a register the erase hard- ware interlock write has not been done and the cmf will remain in state s2. s3 high voltage write enable erase margin reads will occur. accesses to the registers are normal register accesses. a write to cmfctl can change ehv. s1 t6 write ses = 0 or a master reset s4 t4 write ehv=1 s4 erase operation: high voltage is applied to the array blocks to erase the cmf bit cells. the pulse width timer is active if sclkr[0:2] 0, and hvs can be polled to time the erase pulse. during the erase operation, the array does not respond to any address. accesses to the registers are allowed. a write to cmfctl can change ehv only. s1 t7 master reset s5 t5 write ehv = 0, disable the internal memory map or a soft reset s5 erase margin read operation: these reads determine whether the state of the bits in the selected blocks needs further modifica- tion by the erase operation. once a bit is fully erased it returns one when read. all words within the blocks being erased must be read to determine whether the erase operation is completed. s4 t8 write ehv = 1 s1 t9 write ses = 0 or a master reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-27 during the erase margin read while the shadow information is read. for the erase op- eration to be completed, block ze ro must also be fully verified. note setting the sie bit disables normal array access. sie should be cleared after verifying the shadow information. 19.7 voltage control fo r programming and erasing bits for controlling the voltage during programming and erasing are found in the cm- fctl register. 19.7.1 pulse status during a program or erase pulse, the hvs bit is set while the pulse is active or during recovery. the biu does not acknowledge an access to an array location if hvs = 1. while hvs = 1, ses cannot be changed. the program or erase pulse becomes active by setting the ehv bit and is terminated by clearing ehv or by the pulse width timing control. figure 19-5 pulse status timing the recovery time is the time required for the cmf eeprom to remove the program or erase voltage from the array or shadow information before switching to another mode of operation. the recovery time is determined by the system clock range (sclkr[0:2]) and the pe bit. if sclkr = 000, the recovery time is 128 clocks. other- wise, the recovery time is 48 periods of the scaled clock. once reset is completed hvs will indicate no program or erase pulse (hvs = 0). 19.7.2 pulse widt h timing equation to control the pulse widths for program and erase operations, the cmf eeprom uses the system clock and the timing control in cmfctl. the total pulse time is defined by the following pulse width equation: ehv hvs recovery pulse width recovery = 48 scaled clocks or 128 clocks f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-28 pulse width = system clock period ? r ? 2 n ? m where: r = clock scaling n = 5 + clkpe[0:1] + ((pe | csc) ? 10) m = 1 + clkpm[0:6] the following subsections explain how the values for r, n, and m are determined. 19.7.3 system clock scaling the first term of the pulse width timing equation is the clock scaling, r. the value of r is determined by the system clock range (sclkr) field. sclkr defines the pulse tim- er?s base clock using the system clock. use table 19-12 to set sclkr based upon the system clock frequency. the system clock period is multiplied by the clock scaling value to generate a 83.3-ns to 125-ns scaled clock. this scaled clock is used to run the charge pump submodule and the next functional block of the timing control. note the minimum specified system clock frequency for performing pro- gram and erase operations is 8.0 mhz. the cmf eeprom does not have any means to mo nitor the system clock frequency a nd will not prevent program or erase operation at frequencies below 8.0 mhz. attempting to program or erase the cmf eeprom at system clock frequencies lower than 8.0 mhz will not damage the device if the maximum pulse times and total ti mes are not exceeded. while some bits in the cmf eeprom array may change state if programmed or erased at system clock frequencies below 8.0 mhz, the full program or erase transition is not ensured. warning never stop the u-bus clock or alter its frequency during a program or erase operation. changing the clock frequency during a program or erase operation results in inaccurate pulse widths and variations in the charge pump output. this includes loss of system clock/pll. table 19-12 syst em clock range sclkr[0:2] system clock frequency (mhz) clock scaling (r) minimum maximum 000 not for customer use . program and erase timing control not specified and pulse is not terminated by the timer con- trol. recovery time is specified to be 128 clocks. 1 001 8 12 1 010 12 18 3/2 011 18 24 2 100 24 36 3 101 36 40 4 110 and 111 reserved by motorola for future use f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-29 19.7.4 exponential clock multiplier the second term in the pulse width timing equation is the exponential clock multiplier, n. the program pulse number (pulse), clock period exponent (clkpe), csc, and pe define the exponent in the 2 n multiply of the clock period. the exponent, n, is defined by the equation: n = 5 + clkpe[0:1] + ((pe | csc) ? 10) all of the exponents are shown in table 19-12 . 19.7.5 linear clock multiplier the third term of the pulse width timing equation is the linear clock multiplier, m. the clock period multiplier, clkpm[0:6], defines a linear multiplier for the program or erase pulse. the multiplier, m, is defined by the equation: m = 1 + clkpm[0:6] this allows for the program/erase pulse to be from one to 128 times the pulse set by the system clock period, sclkr[0:2] and clkpe[0:1]. the default reset state of clkpm[0:6] = 000 0000 for a multiplier of one. 19.7.6 a technique to determine sclkr, clkpe, and clkpm the following example determines the values of the sclkr, clkpe, and clkpm fields for a 25.6 s program pulse, pe = 0, in a system with a 40 mhz system clock. table 19-13 clock period ex ponent and puls e width range pe | csc clkpe[0:1] exponent (n) pulse width range for all system clock frequencies from 8.0 mhz to 40.0 mhz. minimum pulse width maximum pulse width 8 mhz 1 2 n 1.25e-7 notes: 1. cmf clock frequency after scklr scaling. example: a 40 mhz system clock scaled by 4 (sclkr[0:2] = 0b101) results in an equivalent cmf clock of 10 mhz. 10 mhz 1 2 n 1e-7 12 mhz 1 2 n 0.833e-7 8 mhz 1 2 n 1.25e-07 10 mhz 1 2 n 1e-7 12 mhz 1 2 n 0.833e-7 0 00 5 4 s 3.2 s 2.7 s 512 s 409.6 s 341.3 s 01 6 8 s 6.4 s 5.3 s 1.024 ms 819.2 s 682.7 s 10 7 16 s 12.8 s 10.7 s 2.048 ms 1.6384 ms 1.365 ms 11 8 32 s 25.6 s 21.3 s 4.096 ms 3.2768 ms 2.731 ms 1 00 15 4.096 ms 3.28 ms 2.73 ms 524.29 ms 419.43 ms 349.5 ms 01 16 8.192 ms 6.55 ms 5.46 ms 1.05 s 838.86 ms 699.1 ms 10 17 16.384 ms 13.11 ms 10.92 ms 2.10 s 1.68 s 1.398 s 11 18 32.768 ms 26.21 ms 21.85 ms 4.19 s 3.35 s 2.796 s f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-30 in this example system clock frequency = 40 mhz; the system clock period is therefore 25 ns. 1. determine sclkr: from table 19-12 a 40 mhz system clock uses sclkr[0:2] = 0b101, r = 4. 2. determine clkpe: from table 19-13 a 25.6 s program pulse, pe = 0, can be generated by ex- ponents in the range of n = 5, 6, 7, or 8. while any of these values can be se- lected clkpe[0:1] = 0b00, n = 5, will be used for the example. 3. determine clkpm: using the selected values of n and r in the pulse width equation and solving for m yields m = 8. therefore, clkpm[0:6] = 0x7 (0b0000111). 4. check the results: pulse width = system clock period ? r ? 2 n ? m using sclkr[0:2] = 0b101, clkpe[0:1] = 0b00, clkpm[0:6] = 0b0000111 and pe = 0 at 40 mhz system clock. pulse width = 25 ns  4  2 5  8 = 25.6 s program pulse. 19.7.7 starting an d ending a program or erase sequence the ses bit is used to signal the start and end of a program or erase sequence. at the start of a program or erase sequence, ses is set (written to a one). this locks protect[0:7], sclkr[0:2], clkpe[0:1], clkpm[0:6], block[0:7], csc and pe. if pe = 0 and ses = 1, sie is write-locked. at this point the cmf eeprom is ready to receive either the programming writes or the erase interlock write. note the erase interlock write is a writ e to any cmf eeprom array loca- tion after ses is set and pe = 1. if the pe bit is a zero, the cmf biu accepts programming writes to the cmf array ad- dress for programming. the first programming write selects the program page offset address (addr[17:25]) to be programmed along with the data for the programming buffers at the location written. all programming writes after the first will update the pro- gram buffers using the lower address (addr[26:29]) and the block address (ad- dr[14:16]) to select the program page buffers to receive the data. for further information see section 19.2.2.2 program page buffers . after the data has been writ- ten to the program buffers the ehv bit is set (written to a one) to start the programming pulse and lock out further programming writes. if the pe bit = 1, the cmf biu accepts writes to any cmf array address as an erase- interlock write. an erase interlock write is required before the ehv bit can be set. at the end of the program or erase operation the ses bit must be cleared (written to a zero) to return to normal operation and release the program buffers, protect[0:7], sclkr[0:2], clkpe[0:1], clkpm[0:6], block[0:7], csc and pe. the default reset state of ses is not configured for program or erase operation (ses = 0). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-31 19.7.8 controlling the program/erase voltage the external program or erase enable pin (epee) and ehv are used to control the ap- plication of the program or erase voltage to the cmf eeprom module. high voltage operations to the cmf eeprom array, special monet shadow locations or flash nvm registers can occur only if ehv = 1 and epee = 1. only after the correct hardware and software interlocks have been applied to the cmf eeprom can ehv be set. once ehv is set ses cannot be changed and attempts to read the array will not be acknowledged. the default reset state of ehv disables program or erase pulses (ehv = 0). a master reset while ehv = 1 terminates the high voltage operation, and cmf generates the re- quired sequence to disable the high voltage without damage to the high voltage cir- cuits. a soft reset or disabling the internal memory map clears ehv, terminating the high voltage pulse. 19.8 censored and non-censored accesses the MPC555 / mpc556 always operates in one of two modes: censored or uncen- sored. 19.8.1 uncensored mode uncensored mode provides no censorship. in uncensored mode the fic, access, and censor[0:1] bits are irrelevant. the MPC555 / mpc556 operates in uncensored mode unless a specific event occurs to place the device in censored mode. 19.8.2 censored mode the MPC555 / mpc556 enters censored mode in response to any of the following events:  booting from external memory  any cmf array access from an external master  entering background debug mode. the cmf eeprom censorship mechanism pr ovides several censorship levels. four bits in cmfmcr are used to configure the cmf censorship level. these bits are listed in table 19-14 . in censored mode, the access and censor bits work together according to table 19-15 . table 19-14 censorship control bits access enables a cmf eeprom to bypass the censorship fic overrides censor[0:1] to force information censorship if access = 0 censor[0:1] determine the censorship level of the cmf f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-32 there are two states of censorship: information censorship (censor[0:1] = 11) and cleared censorship (censor[0:1] = 00). in the information censorship state the entire cmf array must be erased to clear censor[0:1]. in the cleared censorship or no cen- sorship states the bits in censor[0:1] may be set without modifying the information in the cmf array. when fic=1, the censor bits have no effect upon censorship. while the device is in uncensored mode, access may be set to allow the device to enter censored mode and still access the cmf array. access may not be set while the device is in censored mode but may be cleared. the default reset state of access is zero , so that fic and censor[0:1] control the level of censorship to the cmf eeprom array. all accesses to the cmf eeprom array are allowed if access=1. if an access is attempted when the device is in censored mode and the following con- dition holds, the cmf eeprom module disallows access to the array and signals a bus error: ((censor[0] = censor[1])|( fic = 1)) and (access = 0) if censor[0:1] is in the no-censorship state, however (censor[0] censor[1]), the cmf eeprom module recognizes accesses to its address space. when fic = 1, the censor bits have no effect upon censorship. if ((fic = 1) and (ac- cess = 0)) the cmf is in information cens orship mode. if ((fic = 1) and (access = 1)), the cmf is in normal access mode. this arrangement aids in the development of custom techniques for controlling the access bit without setting censor[0:1] to the information censorship state. using fic to force information censorship allows testing of the hardware and software for setting access without setting censor[0:1] = 11. the default reset state of fic is normal censorship operation (fic = 0). 19.8.3 device modes and censorship status table 19-16 summarizes the various combinations of censorship mode and states of the access, fic, and censor[0:1] bits. when booting from the internal flash, the default state is #8 unless bdm was entered, or a slave access to the MPC555 / mpc556 occurred. when any of these three con- ditions occur, then the state of censor[0:1] determine whether the flash array can be accessed: 1. bdm is active 2. accessing the MPC555 / mpc556 flash via a slave mode read 3. booting from an external memory table 19-15 levels of censorship access censor[0:1] description 0 11 information censorship, no cmf array accesses allowed 0 01 or 10 no censorship, cmf array accesses allowed 0 00 cleared censorship, no cmf array accesses allowed 1 xx no censorship, cmf array accesses allowed f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-33 the only way censor[0:1] can be changed is by setting or clearing the flash nvm fuses. in the information censorship stat e, censor[0:1] must be cleared to the cleared censorship state before censor[0:1] can be put into the no-censorship state. caution clearing the censor[0:1] bits causes the entire cmf array to be erased. 19.8.4 setting a nd clearing censor the value of each bit in censor[0:1] is determined by the state of two nvm bits in a special nvm fuse as shown in table 19-17 . these two nvm bits are not part of the main flash array. the nvm fuse is not writable but instead may be set or cleared. the two nvm bits in the nvm fuse are programmed and erased simultaneously to change table 19-16 cmf eeprom devices modes and censorship status device mode censored uncensored access 0 1 0 1 fic 0 1 010101 censor[0:1] 00 01 or 10 11 00, 01 or 10 11 00, 01, 10 or 11 cmf eeprom status #1 #2 #3 #4 #5 #6 #7 #8 #9 #10 #11 #1 cmf array can not be accessed. access can not be changed. fic can be set. cen- sor[0:1] can be set. censor[0:1] can not be cleared. #2 cmf array can be accessed. access can not be changed. fic can be set. cen- sor[0:1] can be set. censor[0:1] can be cleared. #3 cmf array can not be accessed. access can not be changed. fic can be set. cen- sor[0:1] can not be cleared. #4 cmf array can not be accessed. access can not be changed. fic can not be changed. censor[0:1] can be set. censor[0:1] can not be cleared. #5 cmf array can not be accessed. access can not be changed. fic can not be changed. censor[0:1] can not be cleared. #6 cmf array can be accessed. access can be cleared. fic can be set. censor[0:1] can be changed. #7 cmf array can be accessed. access can be cleared. fic can not be changed. cen- sor[0:1] can be changed. #8 cmf array can be accessed. access can be changed. fic can be set. cen- sor[0:1] can be changed. #9 cmf array can be accessed. access can be changed. fic can not be changed. censor[0:1] can be changed. #10 cmf array can be accessed. access can be changed. fic can be set. cen- sor[0:1] can be changed. #11 cmf array can be accessed. access can be changed. fic can not be changed. censor[0:1] can be changed. = indicates that the cmf array can not be accessed. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-34 the value of the nvm fuse. reading censor[0:1] while setting or clearing with the high voltage applied (csc = 1 and ehv = 1) will return zeroes. the set operation changes the state in an nvm fuse from a zero to a one by program- ming nvm bit 0 and erasing nvm bit 1 simultaneously in the nvm fuse. this set oper- ation can be performed without changing the contents of the cmf array. to set one or both of the bits in censor[0:1], 1. using section 19.7.6 a technique to dete rmine sclkr, clkpe, and clkpm , write the pulse width timing control fields for an erase pulse, csc = 1, pe = 0 and ses = 1 in the cmfctl register. 2. write a one to the censor bit(s) to be set. 3. write ehv = 1 in the cmfctl register. this will apply the programming voltag- es to nvm bit 0 and the erase voltages to nvm bit 1 simultaneously. 4. read the cmfctl register until hvs = 0. 5. write ehv = 0 in the cmfctl register. 6. read the cmfmcr censor bit(s) that are being set. if any bit selected for set is a 0 go to step 3. 7. write ses = 0 and csc = 0. the clear operation changes the state in an nvm fuse from a one to a zero by erasing nvm bit 0 and programming nvm bit 1 simultaneously in the nvm fuse. this clear op- eration can be done only while erasing the entire cmf array and shadow information. to clear censor[0:1], 1. write protect[0:7] = 0x00 to enable the entire array for erasure. 2. using section 19.7.6 a technique to dete rmine sclkr, clkpe, and clkpm , write the pulse width timing control fields for an erase pulse, block[0:7] = 0xff, csc = 1, pe = 1 and ses = 1 in the cmfctl register. 3. perform an erase interlock write. 4. write ehv = 1 in the cmfctl register. this will apply the erase voltages to the entire cmf array and nvm bit 0 and the programming voltages to nvm bit 1 simultaneously. 5. read the cmfctl register until hvs = 0. 6. write ehv = 0 in the cmfctl register. 7. read the entire cmf array and the shadow information words. if any bit equals zero, go to step 4. 8. read censor[0:1]. if censor[0:1] 0 go to step 4. 9. write ses = 0 and csc = 0. table 19-17 nvm fuse states nvm bit 0 nvm bit 1 nvm fuse bit value erased erased undefined programmed erased set (1) erased programmed cleared (0) programmed programmed undefined f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-35 19.8.5 switching the cmf eeprom censorship there are three levels of censorship that censor[0:1] can select: cleared censor- ship, no censorship (two states) and informa tion censorship. these three levels, state values, transitions and level of censorship are shown in figure 19-6 . figure 19-6 censorship states and transitions censor[0:1]=1 censor[0:1]=2 censor[0:1]=0 t1 t2 t3 no censorship cleared censorship information censorship censor[0:1]=3 data data data data data data data unknown t3 t4 t3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-36 figure 19-6 illustrates the following censor[0:1] transitions:  t1: cleared censorship to no censorship set censor[0] or censor[1].  t2: no censorship to information censorship set censor[0] and censor[1].  t3: information censorship, no censorship or unknown to cleared censorship clear censor[0:1]. this is done only while the entire cmf array is erased.  t4: cleared censorship to information censorship set both censor[0] and censor[1]. 19.9 pin descriptions the cmf modules use the following pins:  e pee  v pp  v ddf  v ssf 19.9.1 e pee signal the epee bit monitors the state of the external program/erase enable, e pee pin. e pee has a digital filter that requires two consecutive samples to be equal before the output of the filter changes. the cmf samples e pee when ehv is asserted and holds the e pee state until ehv is negated. this is shown in figure 19-7 . figure 19-7 epee digital filter and latch pin filter output = 1 pin filter output = 1 pin filter output = 0 epee pin = 1 @ t2 pin filter output = 0 epee pin = 0 @ t2 epee pin = 0 @ t2 epee pin = 1 @ t2 epee pin = 0 @ t2 epee pin = 1 @ t2 epee pin?s digital filter in out latch ehv epee f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-37 if epee = 1 when ehv is asserted, high voltage operations such as program or erase are enabled. if epee = 0 when ehv is asserted, high voltage operations are disabled. the epee pin uses a two-period clock synchronizer that switches the internal signal cmf_epee after two consecutive constant values of epee, as shown in figure 19- 8 . at first the value of cmf_epee is unknown, as the prior information for the epee pin is not provided. one high or low clock of epee does not cause cmf_epee to switch. figure 19-8 cmf_epee timing diagram 19.9.2 flash program/era se voltage conditioning a voltage of at least (vddl ? 0.35 v) must be applied at all times to the vpp pins or damage to the flash module can occur. flash modules can be damaged by power on and power off vpp transients. vpp must not rise to programming level while vddl is below 1.0 volts, and must not fall below the minimum specified value while vddl is applied. figure 19-9 shows the vpp and vddl operating envelope. clock epee cmf_epee f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-38 figure 19-9 vpp and vddl power switching use of an external circuit to condition vpp is recommended. figure 19-10 shows a simple circuit that maintains required voltages and filters transients. vpp is pulled up to vddl via schottky diode d2, protecting vddl from excessive reverse current. d2 also protects the flash from damage should the programming voltage go to zero. programming power supply voltage must be adjusted to compensate for the forward- 100 ns maximum 6.0 v 5.25 v 4.75 v 3.6 v 3.0 v 2.65 v 0.0 v -0.35 v power on normal program/erase power down maximum overshoot 5.5 v vpp envelope vddl* envelope suggested vpp suggested vddl normal combined vpp and vddl *this assumes that vddl = vddi = vddf = vddsyn. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-39 bias drop across d1. capacitor c1 filters transients, while r2 provides a discharge bleed path for c1. allow for rc charge and discharge time constants when applying and removing power. when using this circuit, keep leakage from external devices con- nected to the vpp pin low, to minimize diode voltage drop. figure 19-10 vpp conditioning circuit 19.10 reset operation 19.10.1 master reset the MPC555 / mpc556 signals a master reset (both poreset or hreset ) to the cmf eeprom when a full reset is required. a master reset is the highest priority op- eration for the cmf eeprom and will terminate all other operations. the cmf ee- prom module uses master reset to initialize all register bits to their reset values. if the cmf eeprom is in program or erase operation (ehv = 1) and a master reset is gen- erated, the module will perform the needed interlo cks to disable the high voltage with- out damage to the high voltage circuits. master reset will terminate any other mode of operation and force the cmf eeprom biu to a state ready to receive u-bus accesses within 10 clocks of the end of master reset. if the hc bit of the reset configuration word = 0 and the siu requests internal configu- ration during reset, the cmf eeprom will pr ovide the reset configuration word to the device from cmfrc. 19.10.2 soft reset a soft reset forces the biu into a state ready to receive u-bus accesses and clear the ehv bit. all other register bits remain unaltered by a soft reset. d 1 c 1 0.1 f r 2 22k ? d 2 vddl v pp pin programming voltage power supply* *the vpp voltage specification is the voltage at the vpp pin, not the input to diode d1. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 cdr monet flash eeprom motorola user?s manual rev. 15 october 2000 19-40 19.10.3 emulation operation the cmf eeprom supports externally mapped access for emulation operation. when the siu indicates an externally mapped access to the cmf eeprom, the cmf does not respond to the address, even though it may be a valid cmf access. refer to 10.6 dual mapping of the in ternal flash eeprom array for details. 19.11 disabling the cmf module the cmf eeprom can be disabled when the internal memories are disabled. dis- abling the internal memories is controlled by the flen bit (bit 20) in the usiu internal memory map register. the default reset enable/disable state of the internal memories is user defined with the reset configuration word bit 20. caution the reset configuration word from an erased cmf must be generat- ed external to the cmf, i.e., from the default reset configuration word off the external reset configuration word. see 7.5 reset configura- tion . ehv is reset to 0 when the cmf is disabled and can not be set until the cmf is en- abled, see section 19.7.8 controlling the program/erase voltage when disabled, the power used by the cmf is reduced. note although the program and erase operations can be suspended (ehv = 0) by disabling the internal memory, it is not recommended that pro- gram or erase be suspended in this manner. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 static random access memory (sram) motorola user?s manual rev. 15 october 2000 20-1 section 20 static random access memory (sram) the MPC555 / mpc556 contains two static random access memory (sram) modules: a 16-kbyte module and a 10-kbyte module. the sram modules provide the micro- controller unit (mcu) with fast (one cycle access), general-purpose memory. the sram can be read or written as either bytes, half words, or words. each sram module is built with a series of 4-kbyte blocks and occupies a continuous block of memory. for a ram size array block of less than 4 kbytes (e.g., the 2-kbyte array in the 10-kbyte sram module), the remaining 2 kbytes are unimplemented and unusable. the sram modules are accessible to the cpu and other bus masters via the l-bus on the cpu chip. to improve access time, each sram module resides on a separate bus interface unit (biu). each biu has its own module control register. 20.1 features  one-cycle access  byte, half-word, or word read/write accesses  individual protection control bits provided for 4-kbyte block boundaries ? read only region ? data only region ? user/supervisor  two-cycle access for power savings  low power standby operation for data retention ? v ddi = 0, no read/writes to the sram; vddsram = 3.3 v to retain data  supports pipelining 20.2 block diagram figure 20-1 shows the major components of an sram module. figure 20-1 sram block diagram sram array biu (maximum 16 kbytes) keep-alive power l-bus power gone f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 static random access memory (sram) motorola user?s manual rev. 15 october 2000 20-2 20.3 programming model the sram modules consist of two separately addressable sections the array itself, and a set of registers used for configuration and testing of the sram array. the reg- isters are located in the sram control register block, shown in figure 20-2 . see also figure 1-3 for the entire MPC555 / mpc556 memory map. figure 20-2 sram memory map the control block for each of the two sram modules contains one control register for configuring the array and one control register for use in testing. 20.3.1 sram module config uration register (srammcr) each sram module configuration register cont ains bits for setting access rights to the array. table 20-1 provides definitions for the bits. srammcr_a sramtst_a 0 31 0x38 0000 0x38 0004 srammcr_b sramtst_b 0x38 0008 0x38 000c 6 kbytes unused sub block 0 sub block 1 0x3f 8000 0x3f 8fff 0x3f 9000 0x3f 97ff 10 kbytes sram sub block 2 sub block 3 0x3f a000 0x3f afff 0x3f b000 0x3f bfff sub block 0 sub block 1 0x3f c000 0x3f cfff 0x3f d000 0x3f dfff 16 kbytes sram sub block 2 sub block 3 0x3f e000 0x3f efff 0x3f f000 0x3f ffff sub block 1 0x3f 9800 0x3f 9fff sram control registers msb lsb f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 static random access memory (sram) motorola user?s manual rev. 15 october 2000 20-3 20.3.2 sram test register (sramtst) sramtst ? sram test register 0x38 0004, 0x38 000c the sram test register is used for factory testing only. srammcr ? sram module configuration register 0x38 0000 0x38 0008 msb 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 lck dis 2cy reserved reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 lsb 31 reserved r0 d0 s0 r1 d1 s1 r2 d2 s2 r3 d3 s3 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 20-1 srammcr bit descriptions bit(s) name description 0 lck lock bit. this bit can be set only once and cleared only by reset. 0 = writes to the srammcr are accepted 1 = writes to the srammcr are ignored 1 dis module disable 0 = sram module is enabled 1 = sram module is disabled. module can be subsequently re-enabled by software set- ting this bit or by reset. attempts to read sram array when it is disabled result in internal tea assertion. 2 2cy two-cycle mode 0 = sram module is in single-cycle mode (normal operation) 1 = sram module is in two-cycle mode. in this mode, the first cycle is used for decoding the address, and the second cycle is used for accepting or providing data. this mode provides some power savings while keeping the memory active. 3:19 ? reserved 20, 23, 26, 29 rx (x = 0, 1, 2, 3) read only. r0 controls the highest 4-kbyte block (lowest address) of the sram array; r3 controls the lowest block (highest address). 0 = 4-kbyte block is readable and writable 1 = 4-kbyte block is read only. attempts to write to this space result in internal tea as- sertion. 21, 24, 27, 30 dx (x = 0, 1, 2, 3) data only. d0 controls the highest 4-kbyte block (lowest address) of the sram array; d3 controls the lowest block (highest address). 0 = 4-kbyte block can contain data or instructions 1 = 4-kbyte block contains data only. attempts to load instructions from this space result in internal tea assertion. 22, 25, 28, 31 sx (x = 0, 1, 2, 3) supervisor only. s0 controls the highest 4-kbyte block (lowest address) of the sram ar- ray; s3 controls the lowest block (highest address). 0 = 4-kbyte block is placed in unrestricted space 1 = 4-kbyte block is placed in supervisor space. attempts to access this space from the user privilege level result in internal tea assertion. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 static random access memory (sram) motorola user?s manual rev. 15 october 2000 20-4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-1 section 21 development support 21.1 overview the visibility and controllability requirements of emulators and bus analyzers are in op- position to the trend of modern microcomputers and microprocessors where many bus cycles are directed to internal resources and are not visible externally. in order to enhance the development tool visibility and controllability, some of the de- velopment support functions are implemented in silicon. these functions include pro- gram flow tracking, internal watchpoint, breakpoint generation, and emulation while in debug mode. this section covers program flow tracking support, breakpoint/watchpoint support, de- velopment system interface support (debug mode) and software monitor debugger support. these features allow the user to efficiently debug systems based on the MPC555 / mpc556. 21.2 program flow tracking the mechanism described below allows tracking of program instruction flow with al- most no performance degradation. the information provided may be compressed and captured externally and then parsed by a post-processing program using the microar- chitecture defined below. the program instructions flow is visible on the external bus when the MPC555 / mpc556 is programmed to operate in serial mode and show all fetch cycles on the ex- ternal bus. this mode is selected by programming the isct_ser (instruction fetch show cycle control) field in the i-bus suppor t control register (ictrl), as shown in ta- ble 21-21 . in this mode, the processor is fetch serialized, and all internal fetch cycles appear on the external bus. processor performance is, therefore, much lower than when working in regular mode. these features, together with the fact that most fetch cycles are performed internally (e.g., from the i-cache), increase performance but make it very difficult to provide the user with the real program trace. in order to reconstruct a program trace, the program code and the following additional information from the mcu are needed:  a description of the last fetched instruction (stall, sequential, branch not taken, branch direct taken, branch indirect taken, exception taken)  the addresses of the targets of all indirect flow change. indirect flow changes in- clude all branches using the link and count registers as the target address, all ex- ceptions, and rfi , mtmsr and mtspr (to some registers) because they may cause a context switch. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-2  the number of instructions canceled each clock instructions are fetched sequentially until branches (direct or indirect) or exceptions appear in the program flow or some stall in execution causes the machine not to fetch the next address. instructions may be architecturally executed, or they may be can- celed in some stage of the machine pipeline. the following sections define how this information is generated and how it should be used to reconstruct the program trace. the issue of data compression that could re- duce the amount of memory needed by the debug system is also mentioned. 21.2.1 program trace cycle to allow visibility of the events happening in the machine a few dedicated pins are used and a special bus cycle attribut e, program trace cycle, is defined. the program trace cycle attribute is attached to all fetch cycles resulting from indirect flow changes. when program trace recording is needed, the user can make sure these cycles are visible on the external bus. the vsync indication, when asserted, forces all fetch cycles marked with the pro- gram trace cycle attribute to be visible on the external bus even if their data is found in one of the internal devices. to enable the external hardware to properly synchronize with the internal activity of the cpu, the assertion and negation of vsync forces the machine to synchronize. the first fetch after this synchronization is marked as a pro- gram trace cycle and is visible on the external bus. for more information on the activity of the external hardware during program trace refer to 21.2.4 the external hardware . in order to keep the pin count of the chip as low as possible, vsync is not implement- ed as one of the chip?s external pins. it is asserted and negated using the serial inter- face implemented in the development port. for more information on this interface refer to 21.5 development port forcing the cpu to show all fetch cycles ma rked with the program trace cycle attribute can be done either by asserting the vsync pin (as mentioned above) or by program- ming the fetch show cycle bits in the instru ction support control register, ictrl. for more information refer to 21.2.5 instruction fetc h show cycle control when the vsync indication is asserted, all fetch cycles marked with the program trace cycle attribute are made visible on t he external bus. thes e cycles can generate regular bus cycles (address phase and data phase) when the instructions reside only in one of the external devices. or, they can generate address-only cycles when the instructions reside in one of the internal devices (internal memory, etc.). when vsync is asserted, some performance degradation is expected due to the ad- ditional external bus cycles. however, sinc e this performance degradation is expected to be very small, it is possible to program the machine to show all indirect flow chang- es . in this way, the machine will always perform the additional extern al bus cycles and maintain exactly the same behavior both when vsync is asserted and when it is ne- gated. for more information refer to 21.7.6 i-bus support control register . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-3 the status pins are divided into two groups and one special case listed below: 21.2.1.1 instruction queue status pins ? vf [0:2] instruction queue status pins denote the type of the last fetched instruction or how many instructions were flushed from the instruction queue. these status pins are used for both functions because queue flushes only happen in clocks that there is no fetch type information to be reported. possible instruction types are defined in table 21-1 . table 21-2 shows vf[0:2] encodings for instruction queue flush information. table 21-1 vf pins instruction encodings vf[0:2] instruction type vf next clock will hold 000 none more instruction type information 001 sequential more instruction type information 010 branch (direct or indirect) not taken more instruction type information 011 vsync was asserted/negated and therefore the next instruction will be marked with the indirect change-of-flow attribute more instruction type information 100 exception taken ? the target will be marked with the indirect change-of-flow attribute queue flush information 1 notes: 1. unless next clock vf=111. see below. 101 branch indirect taken, rfi , mtmsr , isync and in some cases mtspr to cmpa-f, ictrl, ecr, or der ? the target will be marked with the indirect change-of- flow attribute 2 2. the sequential instructions listed here affect the machine in a manner similar to indirect branch instructions. refer to 21.2.3 sequential instructions marked as indirect branch . queue flush information 1 110 branch direct taken queue flush information 1 111 branch (direct or indirect) not taken queue flush information 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-4 21.2.1.2 history buffer flus hes status pins? vfls [0..1] the history buffer flushes status pins denote how many instructions are flushed from the history buffer this clock due to an exception. table 21-3 shows vfls encodings. 21.2.1.3 queue flush information special case there is one special case when although queue flush information is expected on the vf pins, (according to the last value on the vf pins), regular instruction type informa- tion is reported. the only instruction type information that can appear in this case is vf = 111, branch (direct or indirect) not taken. since the maximum queue flushes pos- sible is five, it is easy to identify this special case. 21.2.2 program trac e when in debug mode when entering debug mode an interrupt/exception taken is reported on the vf pins, (vf = 100) and a cycle marked with the prog ram trace cycle is made visible externally. when the cpu is in debug mode, the vf pins equal ?000? and the vfls pins equal ?11?. for more information on debug mode refer to 21.4 development system inter- face if vsync is asserted/negated while the cpu is in debug mode, this information is re- ported as the first vf pins report when the cpu returns to regular mode. if vsync was not changed while in debug mode. the first vf pins report will be of an indirect branch taken (vf = 101), suitable for the rfi instruction that is being issued. in both table 21-2 vf pins queue flush encodings vf[0:2] queue flush information 000 0 instructions flushed from instruction queue 001 1 instruction flushed from instruction queue 010 2 instructions flushed from instruction queue 011 3 instructions flushed from instruction queue 100 4 instructions flushed from instruction queue 101 5 instructions flushed from instruction queue 110 reserved 111 instruction type information 1 notes: 1. refer to table 21-1 . table 21-3 vfls pin encodings vfls[0:1] history buffer flush information 00 0 instructions flushed from history queue 01 1 instruction flushed from history queue 10 2 instructions flushed from history queue 11 used for debug mode indication (freeze). program trace ex- ternal hardware should ignore this setting. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-5 cases the first instruction fetch after debug mode is marked with the program trace cy- cle attribute and therefore is visible externally. 21.2.3 sequential instructions marked as indirect branch there are cases when non-branch (sequential) instructions may effect the machine in a manner similar to indirect branch instructions. these instructions include rfi , mtmsr , isync and mtspr to cmpa-f, ictrl, ecr and der. these instructions are marked by the cpu as indirect branch instructions (vf = 101) and the following instruction address is ma rked with the same program trace cycle at- tribute as if it were an indirect branch target. therefore, when one of these special in- structions is detected in th e cpu, the address of the following instruction is visible externally. in this way the reconstructing soft ware is able to evaluate correctly the ef- fect of these instructions. 21.2.4 the external hardware when program trace is needed, the external hardware needs to sample the status pins (vf and vfls) each clock cycle and the address of all cycles marked with the program trace cycle attribute. program trace can be used in various ways. below are two examples of how program trace can be used:  back trace ? back trace is useful when a record of the program trace before some event occurred is needed. an example of such an event is some system failure. in case back trace is needed the external hardware should start sampling the sta- tus pins (vf and vfls) and the address of all cycles marked with the program trace cycle attribute immediatel y when reset is negated. if show cycles is pro- grammed out of reset to show all, all cycles marked with program trace cycle at- tribute are visible on the external bus. vsync should be asserted sometime after reset and negated when the programmed event occurs. if no show is pro- grammed for show cycles , make sure vsync is asserted before the instruction show cycles programming is changed from show all . note that in case the timing of the programmed event is unknown it is possible to use cyclic buffers. after vsync is negated the trace buffer will contain the program flow trace of the program executed before the programmed event occurred.  window trace ? window trace is useful when a record of the program trace be- tween two events is needed. in case window trace is needed the vsync pin should be asserted between these two events. after the vsync pin is negated the trace buffer will contain information describing the program trace of the program executed between the two events. 21.2.4.1 synchronizing the trace wi ndow to the cpu internal events the assertion/negation of vsync is done using the serial interface implemented in the development port. in order to synchronize the assertion/negation of vsync to an in- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-6 ternal event of the cpu, it is possible to use the internal breakpoints together with de- bug mode. this method is available only when debug mode is enabled. for more information on debug mode refer to 21.4 development system interface the following is an example of steps that enable the user to synchronize the trace win- dow to the cpu internal events: 1. enter debug mode, either immediately out of reset or using the debug mode re- quest 2. program the hardware to break on the event that marks the start of the trace window using the control registers defined in 21.3 watchpoints and break- points support 3. enable debug mode entry for the programmed breakpoint in the debug enable register (der). see 21.7.12 debug enable register (der) ) 4. return to the regular code run (see 21.4.1.6 exit ing debug mode ) 5. the hardware generates a breakpoint when the programmed event is detected and the machine enters debug mode (see 21.4.1.2 entering debug mode ) 6. program the hardware to break on the event that marks the end of the trace window 7. assert vsync 8. return to the regular code run. the first report on the vf pins is a vsync (vf = 011). 9. the external hardware starts sampling the program trace information upon the report on the vf pins of vsync 10. the hardware generates a breakpoint when the programmed event is detected and the machine enters debug mode 11. negate vsync 12. return to the regular code run (issue an rfi ). the first report on the vf pins is a vsync (vf = 011) 13. the external hardware stops sampling the program trace information upon the report on the vf pins of vsync 21.2.4.2 detecting the tr ace window start address when using back trace , latching the value of the status pins (vf and vfls), and the address of the cycles marked as program tr ace cycle, should star t immediately after the negation of reset. the start address is the first address in the program trace cycle buffer. when using window trace , latching the value of the status pins (vf and vfls), and the address of the cycles marked as progra m trace cycle, should start immediately af- ter the first vsync is reported on the vf pins. the start address of the trace window should be calculated according to first two vf pins reports. assuming that vf1 and vf2 are the two first vf pins reports and t1 and t2 are the two addresses of the first two cycles marked with the program trace cycle attribute that were latched in the trace buffer, use the following table to calculate the trace window start address. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-7 21.2.4.3 detecting the assertion/negation of vsync since the vf pins are used for reporting both instruction type information and queue flush information, the external hardware must take special care when trying to detect the assertion/negation of vsync. when vf = 011 it is a vsync assertion/negation report only if the previous vf pins value was one of the following values: 000, 001, or 010. 21.2.4.4 detecting the trace window end address the information on the status pins that describes the last fetched instruction and the last queue/history buffer flushes, changes every clock. cycles marked as program trace cycle are generated on the external bus only when possible (when the siu wins the arbitration over the external bus). therefore, there is some delay between the in- formation reported on the status pins that a cycle marked as program trace cycle will be performed on the external bus and the ac tual time that this cycle can be detected on the external bus. when vsync is negated by the user (through the serial interface of the development port), the cpu delays the report of the of the assertion/negation of vsync on the vf pins (vf = 011) until all addresses marked with the program trace cycle attribute were visible externally. therefore, the external hardware should stop sampling the value of the status pins (vf and vfls), and the addr ess of the cycles marked as program trace cycle immediately after the vsync report on the vf pins. the last two instructions reported on the vf pins are not always valid. therefore at the last stage of the reconstruction software, th e last two instructions should be ignored. 21.2.4.5 compress in order to store all the information generated on the pins during program trace (five bits per clock + 30 bits per show cycle) a large memory buffer may be needed. how- ever, since this information includes events that were canceled, compression can be very effective. external hardware can be added to eliminate all canceled instructions and report only on branches (taken and not taken), indirect flow change, and the num- ber of sequential instructions after the last flow change. table 21-4 detecting the trace buffer start point vf1 vf2 starting point description 011 vsync 001 sequential t1 vsync asserted followed by a sequential instruction. the start address is t1 011 vsync 110 branch direct taken t1 - 4 + offset (t1 - 4) vsync asserted followed by a taken direct branch. the start address is the target of the direct branch 011 vsync 101 branch indirect tak- en t2 vsync asserted followed by a taken indirect branch. the start address is the target of the indirect branch f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-8 21.2.5 instruction fetc h show cycle control instruction fetch show cycles are controlled by the bits in the ictrl and the state of vsync. the following table defines the level of fetch show cycles generated by the cpu. for information on the fetch show cycles control bits refer to table 21-5 note a cycle marked with the program trace cycle attribute is generated for any change in the vsync state (assertion or negation). 21.3 watchpoints and breakpoints support watchpoints, when detected, are reported to the external world on dedicated pins but do not change the timing and the flow of the machine. breakpoints, when detected, force the machine to branch to the appropriate exception handler. the cpu supports internal watchpoints, internal breakpoints, and external breakpoints. internal watchpoints are generated when a user programmable set of conditions are met. internal breakpoints can be programmed to be generated either as an immediate result of the assertion of one of the internal watchpoints, or after an internal watchpoint is asserted for a user programmable times. programming a certain internal watchpoint to generate an internal breakpoint can be done either in software, by setting the cor- responding software trap enable bit, or on the fly using the serial interface implement- ed in the development port to set the corresponding development port trap enable bit. external breakpoints can be generated by any of the peripherals of the system, includ- ing those found on the MPC555 / mpc556 or externally, and also by an external de- velopment system. peripherals found on the external bus use the serial interface of the development port to assert the external breakpoint. in the cpu, as in other risc processors, saving/restoring machine state on the stack during exception handling, is done mostly in software. when the software is in the mid- dle of saving/restoring machine state, the msr[ri] bit is cleared. exceptions that occur and that are handled by the cpu when the msr[ri] bit is clear result in a non-restart- able machine state. for more information refer to 3.15.4 interrupts in general, breakpoints are recognized in the cpu is only when the msr[ri] bit is set, which guarantees machine restartability a fter a breakpoint. in this working mode breakpoints are said to be masked . there are cases when it is desired to enable table 21-5 fetch show cycles control vsync isctl instruction fetch show cycle control bits isctrl[isct_ser] show cycles generated x x00 all fetch cycles x x01 all change of flow (direct & indirect) x x10 all indirect change of flow 0 x11 no show cycles are performed 1 x11 all indirect change of flow f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-9 breakpoints even when the msr[ri] bit is clear, with the possible risk of causing a non- restartable machine state. therefore internal breakpoints have also a programmable non-masked mode, and an external development system can also choose to assert a non-maskable external breakpoint. watchpoints are not masked and therefore always reported on the external pins, re- gardless of the value of the msr[ri] bit. the counters, although counting watchpoints, are part of the internal breakpoints logic and therefore are not decremented when the cpu is operating in the masked mode and the msr[ri] bit is clear. the following figure illustrates the watchpoints and breakpoints support of the cpu. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-10 figure 21-1 watchpoi nts and breakpoint support in the cpu breakpoint non-maskable breakpoint msr[ri] watchpoints to watchpoints pins maskable breakpoint development port trap enable bits counters ( non-masked control bit) internal watchpoints logic development port lctrl2 msr software trap enable bits to cpu development system or external peripherals internal peripherals x x x bit wise and bit wise or x x f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-11 21.3.1 internal watc hpoints and breakpoints this section describes the internal breakpoints and watchpoints support of the cpu. for information on external breakpoints support refer to 21.4 development system interface internal breakpoint and watchpoint support is based on eight comparators comparing information on instruction and load/store cycles, two counters, an d two and-or logic structures. the comparators perform compare on the instruction address (i-address), on the load/store address (l-address) and on the load/store data (l-data). the comparators are able to detect the following conditions: equal, not equal, greater than, less than (greater than or equal and less than or equal are easily obtained from these four conditions, for more information refer to 21.3.1.6 generating six compare types ). using the and-or logic structures ?in range? and ?out of range? detections (on address and on data) are supported. using the counters, it is possible to program a breakpoint to be recognized after an event was detected a predefined number of times. the l-data comparators can operate on fix point data of load or store. when operating on fix point data the l-data comparators are able to perform compare on bytes, half- words and words and can treat numbers either as signed or as unsigned values. the comparators generate match events. the match events enter the instruction and-or logic where the instruction watchpoints and breakpoint are generated. the instruction watchpoints, when asserted, may generate the instruction breakpoint. two of them may decrement one of the counters. if one of the instruction watchpoints ex- pires in a counter that is counting, the instruction breakpoint is asserted. the instruction watchpoints and the load/store match events (address and data) enter the load/store and-or logic where the load/store watchpoints and breakpoint are generated. the load/store watchpoints, when asserted, may generate the load/store breakpoint or they may decrement one of the counters. when a counter that is count- ing one of the load/store watchpoints expires, the load/store breakpoint is asserted. watchpoints progress in the machine and are reported on retirement. internal break- points progress in the machine until they reach the top of the history buffer when the machine branches to the breakpoint exception routine. in order to enable the user to use the breakpoint features without adding restrictions on the software, the address of the load/store cycle that generated the load/store breakpoint is not stored in the dar (data address register), like other load/store type exceptions. in case of a load/store breakpoint, the address of the load/store cycle that generated the breakpoint is stored in an implementation-dependent register called the bar (breakpoint address register). key features of internal watchpoint and breakpoint support are:  four i-address comparators (each supports equal, not equal, greater than, less than)  two l-address comparators (each supports equal, not equal, greater than, less f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-12 than) including least significant bits masking according to the size of the bus cycle for the byte and half-word working modes. refer to 21.3.1.2 byte and half-word working modes  two l-data comparators (each supports equal, not equal, greater than, less than) including byte, half-word and word operating modes and four byte mask bits for each comparator. can be used for fix point data. match is detected only on the valid part of the data bus (according to the cycle?s size and the two address least significant bits).  no internal breakpoint/watchpoint matching support for unaligned words and half- words  the l-data comparators can be programmed to treat fix point numbers as signed values or as unsigned values  combine comparator pairs to detect in and out of range conditions (including ei- ther signed or unsigned values on the l-data)  a programmable and-or logic structure between the four instruction compara- tors results with five outputs, four in struction watchpoints and one instruction breakpoint  a programmable and-or logic structure between the four instruction watch- points and the four load/store comparators results with three outputs, two load/ store watchpoints and one load/store breakpoint  five watchpoint pins, three for the instruction and two for the load/store  two dedicated 16-bit down counters. each can be programmed to count either an instruction watchpoint or an load/store watchpoint. only architecturally execut- ed events are counted, (count up is performed in case of recovery).  on the fly trap enable programming of the different internal breakpoints using the serial interface of the development port (refer to 21.5 development port ). soft- ware control is also available.  watchpoints do not change the timing of the machine  internal breakpoints and watchpoints are detected on the instruction during in- struction fetch  internal breakpoints and watchpoints are detected on the load/store during load/ store bus cycles  both instruction and load/store breakpoints and watchpoints are handled and re- ported on retirement. breakpoints and watchpoints on recovered instructions (as a result of exceptions, interrupts or miss prediction) are not reported and do not change the timing of the machine.  instructions with instruction breakpoints are not executed. the machine branches to the breakpoint exception routine before it executes the instruction.  instructions with load/store breakpoints are executed. the machine branches to the breakpoint exception routine after it executes the instruction. the address of the access is placed in the bar (breakpoint address register).  load/store multiple and string instructions with load/store breakpoints first finish execution (all of it) and then the machine branches to the breakpoint exception routine.  load/store data compare is done on the load/store, after swap in store access- es and before swap in load accesses (as the data appears on the bus).  internal breakpoints may operate either in masked mode or in non-masked mode. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-13  both ?go to x? and ?continue? working modes are supported for the instruction breakpoints. 21.3.1.1 restrictions there are cases when the same watchpoint can be detected more than once during the execution of a single instruction, e.g. a load/store watchpoint is detected on more than one transfer when executing a load/store multiple/string or a load/store watch- point is detected on more than one byte when working in byte mode. in all these cases only one watchpoint of the same type is reported for a single instruction. similarly, only one watchpoint of the same type can be counted in the counters for a single instruc- tion. since watchpoint events are reported upon the retirement of the instruction that caused the event, and more than one instruction can retire from the machine in one clock, consequent events may be reported in the same clock. moreover the same event, if detected on more than one instruction (e.g., tight loops, range detection), in some cases will be reported only once. note that the internal counters count correctly in these cases. do not put a breakpoint on an mtspr ictrl instruction. when a breakpoint is set on an mtspr ictrl rx instruction and the value of bit 28 (ifm) is one, the result will be unpredictable. a breakpoint can be taken or not on the instruction and the value of the ifm bit can be either zero or one. also, do not put a breakpoint on an mtspr ictrl rx instruction when rx contains one in bit 28. 21.3.1.2 byte and ha lf-word working modes the cpu watchpoints and breakpoints support enables the user to detect matches on bytes and half-words even when accessed using a load/store instruction of larger data widths, for example when loading a table of bytes using a series of load word instruc- tions. in order to use this feature, the user needs to program the byte mask for each of the l-data comparators and to write the needed match value to the correct half-word of the data comparator when working in half-word mode and to the correct bytes of the data comparator when working in byte mode. since bytes and half-words can be accessed using a larger data width instruction, it is impossible for the user to predict the exact value of the l-address lines when the re- quested byte/half-word is accessed, (e.g., if the matched byte is byte two of the word and it is accessed using a load word instruction), the l-address value will be of the word (byte zero). therefore, the cpu masks the two least-significant bits of the l-ad- dress comparators whenever a word access is performed and the least-significant bit whenever a half-word access is performed. address range is supported only when aligned according to the access size. (see ex- amples) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-14 21.3.1.3 examples  a fully supported scenario: looking for : data size: byte address: 0x00000003 data value: greater than 0x07 and less than 0x0c programming options : one l-address comparator = 0x00000003 and program for equal one l-data comparator = 0x00000007 and program for greater than one l-data comparator = 0x0000000c and program for less than both byte masks = 0xe both l-data comparators program to byte mode result : the event will be correctly detected regardless of the load/store instruc- tion the compiler chooses for this access  a fully supported scenario: looking for : data size: half-word address: greater than 0x00000000 and less than 0x0000000c data value: greater than 0x4e204e20 and less than 0x9c409c40 programming option : one l-address comparator = 0x00000000 and program for greater than one l-address comparator = 0x0000000c and program for less than one l-data comparator = 0x4e204e20 and program for greater than one l-data comparator = 0x9c409c40 and program for less than both byte masks = 0x0 both l-data comparators program to half-word mode result : the event will be correctly detected as long as the compiler does not use a load/store instruction with data size of byte.  a partially supported scenario: looking for : data size: half-word address: greater than or equal 0x00000002 and less than 0x0000000e data value: greater than 0x4e204e20 and less than 0x9c409c40 programming option : one l-address comparator = 0x00000001 and program for greater than one l-address comparator = 0x0000000e and program for less than one l-data comparator = 0x4e204e20 and program for greater than one l-data comparator = 0x9c409c40 and program for less than both byte masks = 0x0 both l-data comparators program to half-word mode or to word mode result : the event will be correctly detected if the compiler chooses a load/store instruction with data size of half-word. if the compiler chooses load/store instruc- tions with data size greater than half-word (word, multiple), there might be some false detections. these can be ignored only by the software that handles the breakpoints. the following figure illustrates this partially supported scenario. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-15 figure 21-2 partially supporte d watchpoint/break point example 21.3.1.4 context dependent filter the cpu can be programmed to either recognize internal breakpoints only when the recoverable interrupt bit in the msr is set ( masked mode) or it can be programmed to always recognize internal breakpoints ( non-masked mode). when the cpu is programmed to recognize internal breakpoints only when msrri = 1, it is possible to debug all parts of the code except when the machine status save/ restore registers (srr0 and srr1), dar (data address register) and dsisr (data storage interrupt status register) are busy and, therefore, msrri = 0, (in the prologues and epilogues of interrupt/exception handlers). when the cpu is programmed always to recognize internal breakpoints, it is possible to debug all parts of the code. however, if an internal breakpoint is recognized when msrri = 0 (srr0 and srr1 are busy), the machine enters into a non-restartable state. for more information refer to 3.15.4 interrupts when working in the masked mode, all internal breakpoints detected when msrri = 0 are lost. watchpoints detected in this case are not counted by the debug counters. watchpoints detected are always reported on the external pins, regardless of the value of the msrri bit. out of reset, the cpu is in masked mode. programming the cpu to be in non-masked mode is done by setting the brknomsk bit in the lctrl2 register. refer to 21.7.8 l-bus support control register 2 the brknomsk bit controls all internal break- points (i-breakpoints and l-breakpoints). 21.3.1.5 ignor e first match in order to facilitate the debugger utilities ?continue? and ?go from x?, the ignore first match option is supported for instruction breakpoints. when an instruction breakpoint is first enabled (as a result of the first write to the instruction support control register or as a result of the assertion of the msrri bit when operating in the masked mode), the first instruction will not cause an instruction breakpoint if the ignore first match (ifm) bit in the instruction support control register (ictrl) is set (used for ?continue?). possible false detect on these half-words when using word/multiple 0x00000000 0x00000004 0x00000008 0x0000000c 0x00000010 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-16 when the ifm bit is clear, every matched instruction can cause an instruction break- point (used for ?go from x?). this bit is set by the software and cleared by the hardware after the first instruction breakpoint match is ignored. load/store breakpoints and all counter generated breakpoints (instruction and load/store) are not affected by this mode. 21.3.1.6 generating six compare types using the four compare types mentioned above (equal, not equal, greater than, less than) it is possible to generate also two more compare types: greater than or equal and less than or equal.  generating the greater than or equal compare type can be done by using the greater than compare type and programming the comparator to the needed value minus 1.  generating the less than or equal compare type can be done by using the less than compare type and programming the comparator to the needed value plus 1. this method does not work for the following boundary cases:  less than or equal of the largest unsigned number (1111...1)  greater than or equal of the smallest unsigned number (0000...0)  less than or equal of the maximum positive number when in signed mode (0111...1)  greater than or equal of the maximum negative number when in signed mode (1000...) these boundary cases need no special support because they all mean ?always true? and can be programmed using the ignore option of the load/store watchpoint program- ming (refer to 21.3 watchpoints and breakpoints support ). 21.3.2 instruction support there are four instruction address comparators a,b,c, and d. each is 30 bits long, generating two output signals: equal and less than. these signals are used to gener- ate one of the following four events: equal, not equal, greater than, less than. the instruction watchpoints and breakpoint are generated using these events and ac- cording to user programming. note that using the or option enables ?out of range? de- tect. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-17 figure 21-3 instruction support general structure 21.3.2.1 load/store support there are two load/store address comparators e, and f. each compares the 32 ad- dress bits and the cycle?s attributes (read/ write). the two least-significant bits are table 21-6 instruction wa tchpoints programming options name description programming options iwp0 first instruction watchpoint comparator a comparators (a&b) iwp1 second instruction watchpoint comparator b comparator (a | b) iwp2 third instruction watchpoint comparator c comparators (c&d) iwp3 fourth instruction watchpoint comparator d comparator (c | d) comparator a eq lt compare type comparator b eq lt comparator c eq lt comparator d eq lt events generator and-or logic control bits a b (a&b) (a | b) c d (c&d) (c | d) i-watchpoint 0 i-watchpoint 1 i-breakpoint i-watchpoint 2 i-watchpoint 3 compare type logic compare type logic compare type logic compare type logic f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-18 masked (ignored) whenever a word is accessed and the least-significant bit is masked whenever a half-word is accessed. (for more information refer to 21.3.1.2 byte and half-word working modes ). each comparator generates two output signals: equal and less than. these signals are used to generate one of the following four events (one from each comparator): equal, not equal, greater than, less than. there are two load/store data comparators (comparators g,h) each is 32 bits wide and can be programmed to treat numbers either as signed values or as unsigned values. each data comparator operates as four independent byte comparators. each byte comparator has a mask bit and generates two output signals: equal and less than, if the mask bit is not set. therefore, each 32 bit comparator has eight output signals. these signals are used to generate the ?equal and less than? signals according to the compare size programmed by the user (byte, half-word, word). when operating in byte mode all signals are significant, when operating in half-word mode only four signals from each 32 bit comparator are significant. when operating in word mode only two signals from each 32 bit comparator are significant. from the new ?equal and less than? signals and according to the compare type pro- grammed by the user one of the following four match events are generated: equal, not equal, greater than, less than. therefore, from the two 32-bit comparators eight match indications are generated: gmatch[0:3], hmatch[0:3]. according to the lower bits of the address and the size of the cycle, only match indica- tions that were detected on bytes that have valid information are validated, the rest are negated. note that if the cycle executed has a smaller size than the compare size (e.g., a byte access when the compare size is word or half-word) no match indication will be asserted. using the match indication signals four load/store data events are generated in the fol- lowing way. the four load/store data events together with the match events of the load/store ad- dress comparators and the instruction watchpoints are used to generate the load/store watchpoints and breakpoint according to the users programming. table 21-7 load/st ore data events event name event function 1 notes: 1. ?&? denotes a logical and, ?|? denotes a logical or g (gmatch0 | gmatch1 | gmatch2 | gmatch3) h (hmatch0 | hmatch1 | hmatch2 | hmatch3) (g&h) ((gmatch0 & hmatch0) | (gmatch1 & hmatch1) | (gmatch2 & hmatch2) | (gmatch3 & hmatch3)) (g | h) ((gmatch0 | hmatch0) | (gmatch1 | hmatch1) | (gmatch2 | hmatch2) | (gmatch3 | hmatch3)) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-19 note that when programming the load/store watchpoints to ignore l-addr events and l-data events, it does not reduce the load/store watchpoints detection logic to be in- struction watchpoint detection logic since th e instruction must be a load/store instruc- tion for the load/store watchpoint event to trigger. table 21-8 load/store wa tchpoints programming options name description instruction events programming options l-address events programming options l-data events programming options lwp0 first load/store watch- point iwp0, iwp1, iwp2, iwp3, ignore instruction events comparator e comparator f comparators (e&f) comparators (e | f) ignore l-addr events comparator g comparator h comparators (g&h) comparators (g | h) ignore l-data events lwp1 second load/store watch- point iwp0, iwp1, iwp2, iwp3, ignore instruction events comparator e comparator f comparators (e&f) comparators (e | f) ignore i-addr events comparator g comparator h comparators (g&h) comparators (g | h) ignore l-data events f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-20 figure 21-4 load/store s upport general structure comparator g byte 0 eq lt compare size compare type byte 1 eq lt byte 2 eq lt byte 3 eq lt eq lt eq lt eq lt eq lt comparator h byte 0 eq lt byte 1 eq lt byte 2 eq lt byte 3 eq lt eq lt eq lt eq lt eq lt add(30:31) data cycle size compare size valid 0 valid 1 valid 2 valid 3 g h (g&h) (g | h) instruction watchpoints l-watchpoint 0 l-watchpoint 1 l-breakpoint size logic compare byte qualifier logic events generator and-or logic size logic byte qualifier logic control bits e f (e&f) (e | f) comparator e type logic events generator lt eq comparator f type logic lt eq compare type type logic compare type logic byte mask byte mask f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-21 21.3.3 watchpoint counters there are two 16-bit watchpoint counters. each counter is able to count one of the in- struction watchpoints or one of the load/store watchpoints. both generate the corre- sponding breakpoint when they reach zero. when working in the masked mode, the counters do not count watchpoints detected when msrri = 0. see 21.3.1.4 context dependent filter the counters value when counting watchpoints programmed on the actual instructions that alter the counters, are not predictable. reading values from the counters when they are active, must be synchronized by inserting a sync instruction before the actual read is performed. note when programmed to count instruction watchpoints, the last instruc- tion which decrements the counter to zero is treated like any other instruction breakpoint in the sense that it is not executed and the ma- chine branches to the breakpoint exception routine before it exe- cutes this instruction. as a side effect of this behavior, the value of the counter inside the breakpoint exception routine equals one and not zero as might be expected. when programmed to count load/store watchpoints, the last instruction which decre- ments the counter to zero is treated like any other load/store breakpoint in the sense that it is executed and the machine branches to the breakpoint exception routine af- ter it executes this instruction. therefore, the value of the counter inside the break- point exception routine equals zero. 21.3.3.1 trap enable programming the trap enable bits can be programmed by regular software (only if msrpr = 0) us- ing the mtspr instruction or ?on the fly? using the special development port interface. for more information refer to section 21.5.6.5 development port serial communi- cations ? trap enable mode . the value used by the breakpoints generation logic is the bit wise or of the software trap enable bits, (the bits written using the mtspr ) and the development port trap en- able bits (the bits serially shifted using the development port). all bits, the software trap enable bits and the development port trap enable bits, can be read from ictrl and the lctrl2 using mfspr . for the exact bits placement refer to 21.7.6 i-bus support control register and to 21.7.8 l-bus support control register 2 21.4 development system interface when debugging an existing system, it is sometimes desirable to be able to do so with- out the need to insert any changes in the existing system. in some cases it is not de- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-22 sired, or even impossible, to add load to the lines connected to the existing system. the development system interface of th e cpu supports such a configuration. the development system interface of the cpu uses a dedicated serial port (the devel- opment port) and, therefore, does not need any of the regular system interfaces. con- trolling the activity of the system from the development port is done when the cpu is in the debug mode. the development port is a relatively economical interface (three pins) that allows the development system to operate in a lower frequency than the fre- quency of the cpu. note that it is also possible to debug the cpu using monitor de- bugger software, for more information refer to 21.6 software monitor debugger support . debug mode is a state where the cpu fetches all instructions from the development port. in addition, when in debug mode, data can be read from the development port and written to the development port. this allows memory and registers to be read and modified by a development tool (emula tor) connected to the development port. for protection purposes, two possible working modes are defined: debug mode en- able and debug mode disable. these working modes are selected only during reset. for more information refer to 21.4.1.1 debug mode enab le vs. debug mode disable the user can work in debug mode starting from reset or the cpu can be programmed to enter debug mode as a result of a predefined list of events. these events include all possible interrupts and exceptions in the cpu system, including the internal break- points, together with two levels of development port requests ( masked and non- masked ) and one peripheral breakpoint request that can be generated by any one of the peripherals of the system (including inte rnal and external m odules). each event can be programmed either to be treated as a regular interrupt that causes the machine to branch to its interrupt vector, or to be treated as a special interrupt that causes de- bug mode entry. when in debug mode an rfi instruction will return the machine to its regular work mode. the relationship between the debug mode logic to the rest of the cpu chip is shown in the following figure. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-23 figure 21-5 functiona l diagram of MPC555 / mpc556 debug mode support the development port provides a full duplex serial interface for communications be- tween the internal development support logic of the cpu and an external development tool. the development port can operate in two working modes: the trap enable mode and the debug mode. the trap enable mode is used in order to shift into the cpu internal development sup- port logic the following control signals: 1. instruction trap enable bits, used for on the fly programming of the instruction breakpoint 2. load/store trap enable bits, used for on the fly programming of the load/store breakpoint 3. non-maskable breakpoint, used to assert the non-maskable external break- point 4. maskable breakpoint, used to assert the maskable external breakpoint 5. vsync, used to assert and negate vsync 32 development port development port 32 35 ecr der cpu core dpir dpdr 9 tecr control logic shift register dsdo vfls, frz ext bus siu/ ebi bkpt, te, vsync dsdi dsck development support logic port internal bus f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-24 in debug mode the development port controls also the debug mode features of the cpu. for more information 21.5 development port 21.4.1 debug mode support the debug mode of the cpu provides the de velopment system with the following basic functions:  gives an ability to control the execution of the processor and maintain control on it under all circumstances. the development port is able to force the cpu to enter to the debug mode even when external interrupts are disabled.  it is possible to enter debug mode immediately out of reset thus allowing the user even to debug a rom-less system.  the user can selectively define, using an enable register, the events that will cause the machine to enter into the debug mode.  when in debug mode the user can detect the reason upon which the machine en- tered debug mode by reading a cause register.  entering into the debug mode in all regular cases is restartable in the sense that the user is able to continue to run his regular program from the location where it entered the debug mode.  when in debug mode all instructions are fetched from the development port but load/store accesses are performed on the real system memory.  data register of the development port is accessed using mtspr and mfspr in- structions via special load/store cycles. (this feature together with the last one enables easy memory dump & load).  upon entering debug mode, the processor gets into the privileged state (msrpr = 0). this allows execution of any instruction, and access to any storage location.  an or signal of all exception cause register (ecr) bits (ecr_or) enables the development port to detect pending events while already in debug mode. an ex- ample is the ability of the development po rt to detect a debug mode access to a non existing memory space. the following figure illustrates the debug mode logic implemented in the cpu. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-25 figure 21-6 debug mode logic 5 event valid event set reset ecr_or freeze rfi decoder exception cause register debug enable register q (ecr) (der) debug mode enable internal debug mode signal f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-26 21.4.1.1 debug mode enable vs. debug mode disable for protection purposes two possible working modes are defined: debug mode enable and debug mode disable. these working modes are selected only during reset. debug mode is enabled by asserting the dsck pin during reset. the state of this pin is sampled three clocks before the negation of sreset . note since sreset negation is done by an external pull up resistor any reference here to sreset negation time refers to the time the MPC555 / mpc556 releases sreset . if the actual negation is slow due to large resistor, set up time for the debug port signals should be set accordingly. if the dsck pin is sampled negated, debug mode is disabled until a subsequent reset when the dsck pin is sampled in the asserted state. when debug mode is disabled the internal watchpoint/breakpoint hardware will still be operational and may be used by a software monitor program for debugging purposes. when working in debug mode disable, all development support registers (see list in table 21-14 ) are accessible to the supervisor code (msrpr = 0) and can be used by a monitor debugger software. however, the processor never enters debug mode and, therefore, the exception cause register (ecr) and the debug enable register (der) are used only for asserting and negating the freeze signal. for more information on the software monitor debugger support refer to 21.6 software monitor debugger sup- port . when working in debug mode enable, all development support registers are accessi- ble only when the cpu is in debug mode. therefore, even supervisor code that may be still under debug cannot prevent the cpu from entering debug mode. the develop- ment system has full control of all development support features of the cpu through the development port. refer to table 21-16 21.4.1.2 entering debug mode entering debug mode can be a result of a number of events. all events have a pro- grammable enable bit so the user can selectively decide which events result in debug mode entry and which in regular interrupt handling. entering debug mode is also possible immediately out of reset, thus allowing the user to debug even a rom-less system. using this feature is possible by special program- ming of the development port during reset. if the dsck pin continues to be asserted following sreset negation (after enabling debug mode) the processor will take a breakpoint exception and go directly to debug mode instead of fetching the reset vec- tor. to avoid entering debug mode following reset, the dsck pin must be negated no later than seven clock cycles after sreset negates. in this case, the processor will jump to the reset vector and begin normal execution. when entering debug mode im- mediately after reset, bit 31 (development port interrupt) of the exception cause regis- ter (ecr) is set. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-27 figure 21-7 debug mode reset configuration when debug mode is disabled all events result in regular interrupt handling. dsck out clk sreset dsck asserts high while sreset asserted to enable debug mode operation. 0 1 2 3 4 5 8 9 10 11 12 13 14 15 16 17 dsck asserts high following sreset nega tion to enable debug mode immediately. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-28 the internal freeze signal is asserted whenever an enabled event occurs, regardless if debug mode is enabled or disabled. the internal freeze signal is connected to all rel- evant internal modules. these modules can be programmed to stop all operations in response to the assertion of the freeze signal. refer to 21.6.1 freeze indication . the freeze indication is negated when exiting debug mode. refer to 21.4.1.6 exiting debug mode the following list contains the events that can cause the cpu to enter debug mode. each event results in debug mode entry if debug mode is enabled and the correspond- ing enable bit is set. the reset values of the enable bits let the user, in most cases, to use of the debug mode features without the need to program the debug enable register (der). for more information refer to 21.7.12 debug enable register (der) .  nmi exception as a result of the assertion of the irq0_b pin. for more information refer to 3.15.4.1 system reset interrupt  check stop. refer to 21.4.1.3 the check stop state and debug mode  machine check exception  implementation specific instruction protection error  implementation specific data protection error  external interrupt, recognized when msree = 1  alignment interrupt  program interrupt  floating point unavailable exception  floating point assist exception  decrementer exception, recognized when msree = 1  system call exception  trace, asserted when in single trace mode or when in branch trace mode (refer to 3.15.4.10 trace interrupt )  implementation dependent software emulation exception  instruction breakpoint, when breakpoints are masked (brknomsk bit in the lctrl2 is clear) recognized only when msrri = 1, when breakpoints are not mask ed (brknomsk bit in the lctrl2 is set) always recognized  load/store breakpoint, when breakpoints are masked (brknomsk bit in the lctrl2 is cleared) recognized only when msrri = 1, when breakpoints are not masked (brknomsk bit in the lctrl2 is set) always recognized  peripherals breakpoint, from the development port, internal and external modules. are recognized only when msrri = 1.  development port non-maskable interrupt, as a result of a debug station request. useful in some catastrophic events like an endless loop when msrri = 0. as a result of this event the machine may enter a non-restartable state, for more information re- fer to 3.15.4 interrupts . f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-29 the processor enters into the debug mode state when at least one of the bits in the exception cause register (ecr) is set, the corresponding bit in the debug enable reg- ister (der) is enabled and debug mode is enabled. when debug mode is enabled and an enabled event occurs, the processor waits until its pipeline is empty and then starts fetching the next instructions from the development port. for information on the exact value of machine status save/restore registers (srr0 and srr1) refer to 3.15.4 in- terrupts when the processor is in debug mode the freeze indication is asserted thus allowing any peripheral that is programmed to do so to stop. the fact that the cpu is in debug mode is also broadcast to the external world using the value b11 on the vfls pins. note the freeze signal can be asserted by software when debug mode is disabled. the development port should read the value of the exception cause register (ecr) in order to get the cause of the debug mode entry. reading the exception cause register (ecr) clears all its bits . 21.4.1.3 the check st op state and debug mode the cpu enters the check stop state if the machine check interrupt is disabled (msrme = 0) and a machine check interrupt is detected. however, if a machine check interrupt is detected when msrme = 0, debug mode is enabled and the check stop enable bit in the debug enable register (der) is set, the cpu enters debug mode rath- er then the check stop state. the different actions taken by the cpu when a machine check interrupt is detected are shown in the following table. 21.4.1.4 saving machine state upon entering debug mode if entering debug mode was as a result of any load/store type exception, and therefore the dar (data address register) and dsisr (data storage interrupt status register) table 21-9 the check st op state and debug mode msr me debug mode enable chstpe 1 notes: 1. check stop enable bit in the debug enable register (der) mcie 2 2. machine check interrupt enable bit in the debug enable register (der) action performed by the cpu when detecting a machine check interrupt exception cause register (ecr) value 0 0 x x enter the check stop state 0x20000000 1 0 x x branch to the machine check interrupt 0x10000000 0 1 0 x enter the check stop state 0x20000000 0 1 1 x enter debug mode 0x20000000 1 1 x 0 branch to the machine check interrupt 0x10000000 1 1 x 1 enter debug mode 0x10000000 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-30 have some significant value, these two registers must be saved before any other op- eration is performed. failing to save these re gisters may result in loss of their value in case of another load/store type exception inside the development software. since exceptions are treated differently when in debug mode (refer to 21.4.1.5 run- ning in debug mode ), there is no need to save machine status save/restore zero reg- ister (srr0) and machine status save/restore one register (srr1). 21.4.1.5 running in debug mode when running in debug mode all fetch cycles access the development port regardless of the actual address of the cycle. all load /store cycles access the real memory system according to the cycle?s address. the data re gister of the development port is mapped as a special control register therefore it is accessed using mtspr and mfspr instruc- tions via special load/store cycles (refer to 21.7.13 development port data register (dpdr) ). exceptions are treated differently when running in debug mode. when already in de- bug mode, upon recognition of an exception, the exception cause register (ecr) is up- dated according to the event that caused the exception, a special error indication (ecr_or) is asserted for one clock cycle to report to the development port that an exception occurred and execution continues in debug mode without any change in srr0 and srr1. ecr_or is asserted before the next fetch occurs to allow the de- velopment system to detect the excepting instruction. not all exceptions are recognized when in debug mode. breakpoints and watchpoints are not generated by the hardware when in debug mode (regardless of the value of msrri). upon entering debug mode msree is cleared by the hardware thus forcing the hardware to ignore external and decrementer interrupts. setting the msree bit while in debug mode, (by the debug software), is strictly forbid- den . the reason for this restriction is that the external interrupt event is a level signal, and since the cpu only reports exceptions while in debug mode but do not treat them, the cpu does not clear the msree bit and, therefore, this event, if enabled, is recog- nized again every clock cycle. when the ecr_or signal is asserted the development station should investigate the exception cause register (ecr) in order to find out the event that caused the excep- tion. since the values in srr0 and srr1 do not change if an exception is recognized while already in debug mode, they only change once when entering debug mode, saving them when entering debug mode is not necessary. 21.4.1.6 exiting debug mode the rfi instruction is used to exit from debug mode in order to return to the normal pro- cessor operation and to negate the freeze indication. the development system may monitor the freeze status to make sure the MPC555 / mpc556 is out of debug mode. it is the responsibility of the software to read the exception cause register (ecr) before f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-31 performing the rfi . failing to do so will force the cpu to immediately re-enter to debug mode and to re-assert the freeze indication in case an asserted bit in the interrupt cause register (ecr) has a corresponding enable bit set in the debug enable register (der). 21.5 development port the development port provides a full duplex serial interface for communications be- tween the internal development support logic including debug mode and an external development tool. the relationship of the development support logic to the rest of the cpu chip is shown in figure 21-5 . the development port support logic is shown as a separate block for clarity. it is implemented as part of the siu module. 21.5.1 development port pins the following development port pin functions are provided: 1. development serial clock (dsck) 2. development serial data in (dsdi) 3. development serial data out (dsdo) 21.5.2 development serial clock the development serial clock (dsck) is used to shift data into and out of the develop- ment port shift register. at the same time, the new most significant bit of the shift reg- ister is presented at the dsdo pin. in all further discussions references to the dsck signal imply the internal synchronized value of the clock. the dsck input must be driv- en either high or low at all times and not allowed to float. a typical target environment would pull this input low with a resistor. the clock may be implemented as a free running clock or as gated clock. as discussed in section 21.5.6.5 development port seri al communications ? trap enable mode and section 21.5.6.8 development port se rial communications ? debug mode , the shifting of data is controlled by ready and start signals so the clock does not need to be gated with the serial transmissions. the dsck pin is also used at reset to enable debug mode and immediately following reset to optionally cause immediate entry into debug mode following reset. 21.5.3 development serial data in data to be transferred into the development port shift register is presented at the de- velopment serial data in (dsdi) pin by external logic. to be sure that the correct value is used internally. when driven asynchronous (synchronous) with the system clock, the data presented to dsdi must be stable a setup time before the rising edge of dsck (clkout) and a hold time after the rising edge of dsck (clkout). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-32 the dsdi pin is also used at reset to control the overall chip configuration mode and to determine the development port clock mode. see section 21.5.6.4 development port serial communications ? clock mode selection for more information. 21.5.4 development serial data out the debug mode logic shifts data out of the development port shift register using the development serial data out (dsdo) pin. all transitions on dsdo are synchronous with dsck or clkout depending on the cl ock mode. data will be valid a setup time before the rising edge of the clock and will remain valid a hold time after the rising edge of the clock. refer to table 21-12 for dsdo data meaning. 21.5.5 freeze signal the freeze indication means that the processor is in debug mode (i.e., normal proces- sor execution of user code is frozen). on the MPC555 / mpc556, the freeze state can be indicated by three different pins. the frz signal is generated synchronously with the system clock. this indication may be used to halt any off-chip device while in de- bug mode as well as a handshake means between the debug tool and the debug port. the internal freeze status can also be monitored through status in the data shifted out of the debug port. 21.5.5.1 sgpio6/frz/ptr pin the sgpio6/frz/ptr pin powers up as the ptr function and its function is controlled by the gpc bits in the siumcr. 21.5.5.2 iwp[0:1]/vfls[0:1] pins the iwp[0:1]/vfls[0:1] pins power up as the vfls[0:1] function and their function can be changed via the dbgc bits in the siumcr (see 6.13.1.1 siu module config- uration register ). they can also be set via the reset configuration word (see 7.5.2 hard reset configuration word) . the frz state is indicated by the value b11 on the vfls[0:1] pins. 21.5.5.3 vfls[0:1]_mpio32b[3:4] pins the vfls[0:1]_mpio32b[3:4] pins power up as the mpio32b[3:4] function and their function can be changed via the vfls bit in the mios1tpcr register (see section 15.15.1.1). the frz state is indicated by the value b11 on the vfls[0:1] pins. 21.5.6 development port registers the development port consists logically of the three registers: development port in- struction register (dpir), development port data register (dpdr), and trap enable control register (tecr). these registers are physically implemented as two registers, development port shift register and trap enable control register. the development port shift register acts as both the dpir and dpdr depending on the operation being per- f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-33 formed. it is also used as a temporary holding register for data to be stored into the tecr. these registers are discussed below in more detail. 21.5.6.1 development port shift register the development port shift register is a 35-bit shift register. instructions and data are shifted into it serially from dsdi using dsck (or clkout depending on the debug port clock mode, refer to 21.5.6.4 development port serial communications ? clock mode selection ) as the shift clock. these instructions or data are then trans- ferred in parallel to the cpu, the trap enable control register (tecr). when the pro- cessor enters debug mode it fetches instructions from the dpir which causes an access to the development port shift register. these instructions are serially loaded into the shift register from dsdi using dsck (or clkout) as the shift clock. in a sim- ilar way, data is transferred to the cpu by moving it into the shift register which the processor reads as the result of executing a ?move from special purpose register dp- dr? instruction. data is also parallel-loaded into the development port shift register from the cpu by executing a ?move to special purpose register dpdr? instruction. it is then shifted out serially to dsdo us ing dsck (or clkout) as the shift clock. 21.5.6.2 trap enable control register the trap enable control register is a 9-bit register that is loaded from the development port shift register. the contents of the control register are used to drive the six trap en- able signals, the two breakpoint signals, and the vsync signal to the cpu. the ?transfer data to trap enable control register? commands will cause the appropriate bits to be transferred to the control register. the trap enable control register is not accessed by the cpu, but instead supplies sig- nals to the cpu. the trap enable bits, vsy nc bit, and the breakpoint bits of this reg- ister are loaded from the development port shift register as the result of trap enable mode transmissions. the trap enable bits are reflected in ictrl and lctrl2 special registers. see 21.7.6 i-bus support control register and 21.7.8 l-bus support control register 2 . 21.5.6.3 development port registers decode the development port shift register is se lected when the cpu accesses dpir or dp- dr. accesses to these two special purpose registers occur in debug mode and appear on the internal bus as an address and the assertion of an address attribute signal in- dicating that a special purpose register is being accessed. the dpir register is read by the cpu to fetch all instructions when in debug mode and the dpdr register is read and written to transfer data between the cpu and external development tools. the dpir and dpdr are pseudo registers. decoding either of these registers will cause the development port shift register to be accessed. the debug mode logic knows whether the cpu is fetching instructions or reading or writing data. if what the cpu is expecting and what the register receives from the serial port do not match (instruction instead of data) the mismatch is used to signal a sequence error to the external devel- opment tool. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-34 21.5.6.4 development port serial communications ? clock mode selection all of the serial transmissions are clock transmissions and are therefore synchronous communications. however, the transmission clock may be either synchronous or asynchronous with the system clock (clkout). the development port allows the user to select two methods for clo cking the serial transmissions. the first method allows the transmission to occur without being exte rnally synchronized with clkout, in this mode a serial clock dsck must be supplied to the MPC555 / mpc556. the other com- munication method requires a data to be externally synchronized with clkout. the first clock mode is called ?asynchronou s clock? since the input clock (dsck) is asynchronous with clkout. to be sure that data on dsdi is sampled correctly, tran- sitions on dsdi must occur a setup time ahead and a hold time after the rising edge of dsck. this clock mode allows communications with the port from a development tool which does not have access to the clkout signal or where the clkout signal has been delayed or skewed. refer to the timing diagram in figure 21-8 the second clock mode is calle d ?synchronous self clock?. it does not require an input clock. instead the port is timed by the system clock. the dsdi input is required to meet setup and hold time requirements with respect to clkout rising edge. the data rate for this mode is always the same as the system clock. refer to the timing diagram in figure 21-9 . the selection of clock or self clock mode is made at reset. the state of the dsdi input is latched eight clocks after sreset negates. if it is latched low, asynchronous clock mode is enabled. if it is latched high then synchronous self clock mode is enabled. since dsdi is used to select the development port clocking scheme, it is necessary to prevent any transitions on dsdi during this time from being recognized as the start of a serial transmission. the port will not begin scanning for the start bit of a serial trans- mission until 16 clocks after the negation of sreset . if dsdi is asserted 16 clocks after sreset negation, the port will wait until dsdi is negated to begin scanning for the start bit. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-35 figure 21-8 asynchronous clock serial communications dsck dsdi mode cntrl di<0> s<0> s<1> do<0> start ready dsdo debug port drives ?ready? bit onto dsdo when ready for a new transmission. debug port detects the ?start? bit on dsdi and follows the ?ready? bit with two status bits and 7 or 32 output data bits. development tool drives the ?start? bit on dsdi (after detecting ?ready? bit on dsdo when in debug mode). the ?start? bit is immediately followed by a mode bit and a control bit and then 7 or 32 input data bits. note: dsck and dsdi transitions are not required to be synchronous with clkout. di di di do do do f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-36 figure 21-9 synchronous self clock serial communication out clk development tool drives the ?start? bit on dsdi (after detecting ?ready? bit on debug port drives ?ready? bit onto dsdo when cpu starts a read of dpir or dpdr. dsdi debug port detects the ?start? bit on dsdi and follows the ?ready? bit with two status bits and 7 or 32 output data bits. mode cntrl di<0> start di di di di<1> di 1 di< dsdo when in debug mode). the ?start? bit is immediately followed by a mode bit and a control bit and then 7 or 32 input data bits. s<0> s<1> do<0> ready do do do dsdo do do<1> f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-37 figure 21-10 enabling cl ock mode following reset dsdi out clk sreset dsdi negates following sreset negation to enable clocked mode. clken internal clock enable signal asserts 8 clocks after sreset negation if dsdi is negated. this enables clocked mode. 0123456789101112131415 first start bit detected after dsdi negation (self clocked mode) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-38 21.5.6.5 development port serial communications ? trap enable mode when in not in debug mode the development port starts communications by setting dsdo (the msb of the 35-bit development port shift register) low to indicate that all activity related to the previous transmission are complete and that a new transmission may begin. the start of a serial transmission from an external development tool to the development port is signaled by a start bit. a mode bit in the transmission defines the transmission as either a trap enable mode transmission or a debug mode transmis- sion. if the mode bit is set the transmission will only be 10 bits long and only seven data bits will be shifted into the shift regist er. these seven bits will be latched into the tecr. a control bit determines whether the data is latched into the trap enable and vsync bits of the tecr or into the breakpoints bits of the tecr. 21.5.6.6 serial data into development port ? trap enable mode the development port shift register is 35 bits wide but trap enable mode transmissions only use the start/ready bit, a mode/status bit, a control/status bit, and the seven least significant data bits. the encoding of data shifted into the development port shift reg- ister (through the dsdi pin) is shown in table 21-10 and table 21-11 below: the watchpoint trap enables and vsync functions are described in section 21.3 watchpoints and br eakpoints support and section 21.2 program flow tracking . table 21-10 trap enable data shifted into development po rt shift register start mode con- trol 1st 2nd 3rd 4th 1st 2nd vsync function - - - - - - instruction- - - - - - - - data- - watchpoint trap enables 1 1 0 0 = disabled; 1 = enabled transfer data to trap enable control register table 21-11 debug port command shifted in to development po rt shift register start mode con- trol extended opcode major opcode function 111 xx 00000 nop 00001 hard reset request 00010 soft reset request 0 x 00011 reserved 1 0 00011 end download procedure 1 1 00011 start download procedure x x 00100... 11110 reserved x 0 11111 negate maskable breakpoint. x 1 11111 assert maskable breakpoint. 0 x 11111 negate non maskable breakpoint. 1 x 11111 assert non maskable breakpoint. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-39 the debug port command function allows the development tool to either assert or ne- gate breakpoint requests, reset the processor, activate or deactivate the fast down- load procedure. 21.5.6.7 serial data out of development port ? trap enable mode in trap enable mode the only response out of the development port is ?sequencing er- ror.? data that can come out of the development port is shown in table 21-12 . ?valid data from cpu? and ?cpu interrupt? status cannot occur in trap enable mode. when not in debug mode the sequencing error encoding indicates that the transmis- sion from the external development tool was a debug mode transmission. when a se- quencing error occurs the development port will ignore the data shifted in while the sequencing error was shifting out. it will be treated as a nop function. finally, the null output encoding is used to indicate that the previous transmission did not have any associated errors. when not in debug mode, ready will be asserted at the end of each transmission. if debug mode is not enabled and transmission errors can be guaranteed not to occur, the status output is not needed. 21.5.6.8 development port se rial communicati ons ? debug mode when in debug mode the development port starts communications by setting dsdo low to indicate that the cpu is trying to read an instruction from dpir or data from dp- dr. when the cpu writes data to the port to be shifted out the ready bit is not set. the port waits for the cpu to read the next instruction before asserting ready. this allows duplex operation of the serial port while allowing the port to control all transmissions from the external development tool. after de tecting this ready status the external de- velopment tool begins the transmission to the development port with a start bit (logic high) on the dsdi pin. table 21-12 status / data shifted out of development port shift register ready status [0:1] data function bit 0 bit 1 bits 2:31 or 2:6 ? (depending on input mode) (0) 0 0 data valid data from cpu (0) 0 1 freeze status 1 notes: 1. the ?freeze? status is set to (1) when the cpu is in debug mode and to (0) otherwise. download procedure in progress 2 2. the ?download procedure in progress? status is asserted (0) when debug port in the download procedure and is negated (1) otherwise. 1?s sequencing error (0) 1 0 1?s cpu interrupt (0) 1 1 1?s null f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-40 21.5.6.9 serial data into development port in debug mode the 35 bits of the development port shift register are interpreted as a start/ready bit, a mode/status bit, a control/status bit, and 32 bits of data. all instruc- tions and data for the cpu are transmitted with the mode bit cleared indicating a 32- bit data field. the encoding of data shifted into the development port shift register (through the dsdi pin) is shown below in table 21-13 . data values in the last two functions other than those specified are reserved. all transmissions from the debug port on dsdo begin with a ?0? or ?ready? bit. this indicates that the cpu is trying to read an instruction or data from the port. the exter- nal development tool must wait until it sees dsdo go low to begin sending the next transmission. the control bit differentiates between instructions and data and allows the develop- ment port to detect that an instruction was entered when the cpu was expecting data and vice versa. if this occurs a sequence erro r indication is shifted out in the next serial transmission. the trap enable function allows the development tool to transfer data to the trap enable control register. the debug port command function allows the development tool to either negate break- point requests, reset the processor, activate or deactivate the fast down load proce- dure. the nop function provides a null operation for use when there is data or a response to be shifted out of the data register and the appropriate next instruction or command will be determined by the value of the response or data shifted out. table 21-13 debug instructions / data shifted into deve lopment port shift register start mode control instruction / data (32 bits) function bits 0:6 bits 7:31 1 0 0 cpu instruction transfer instruction to cpu 1 0 1 cpu data transfer data to cpu 110 trap enable 1 notes: 1. refer to table 21-10 not exist transfer data to trap enable control register 1 1 1 0011111 not exist negate breakpoint requests to the cpu. 1 1 1 0 not exist nop f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-41 21.5.6.10 serial data out of development port the encoding of data shifted out of the development port shift register in debug mode (through the dsdo pin) is the same as for trap enable mode and is shown in table 21-12 . valid data encoding is used when data has been transferred from the cpu to the de- velopment port shift register. this is the re sult of an instruction to move the contents of a general purpose register to the debug port data register (dpdr). the valid data encoding has the highest priority of all st atus outputs and will be reported even if an interrupt occurs at the same time. since it is not possible for a sequencing error to oc- cur and also have valid data there is no priority conflict with the sequencing error sta- tus. also, any interrupt that is recognized at the same time that there is valid data is not related to the execution of an instruction. therefore, a valid data status will be out- put and the interrupt status will be saved for the next transmission. the sequencing error encoding indicates that the inputs from the external develop- ment tool are not what the development port and/or the cpu was expecting. two cas- es could cause this error: 1. the processor was trying to read instructions and there was data shifted into the development port, or 2. the processor was trying to read data and there was instruction shifted into the development port. th e port will terminate the read cycle with a bus error. this bus error will cause the cpu to signal that an interrupt (exception) occurred. since a status of sequencing error has a higher priority than exception, the port will report the sequencing error first, and the cpu interrupt on the next transmission. the development port will ignore the command, instruction, or data shifted in while the se- quencing error or cpu interrupt is shifted out. the next transmission after all error sta- tus is reported to the port should be a new instruction, trap enable or command (possibly the one that was in progress when the sequencing error occurred). the interrupt-occurred encoding is used to indicate that the cpu encountered an in- terrupt during the execution of the previous instruction in debug mode. interrupts may occur as the result of instruction execution (such as unimplemented opcode or arith- metic error), because of a memory access fault, or from an unmasked external inter- rupt. when an interrupt occurs the development port will ignore the command, instruction, or data shifted in while the interrupt encoding was shifting out. the next transmission to the port should be a new instruction, trap enable or debug port com- mand. finally, the null encoding is used to indicate that no data has been transferred from the cpu to the development port shift register. 21.5.6.11 fast download procedure the download procedure is used to download a block of data from the debug tool into system memory. this procedure can be accomplished by repeating the following se- quence of transactions from the development tool to the debug port for the number of data words to be down loaded: f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-42 figure 21-11 download procedure code example for large blocks of data this sequence may ta ke significant time to complete. the ?fast download procedure? of the debug port may be used to reduce this time. this time re- duction is achieved by eliminating the need to transfer the instructions in the loop to the debug port. the only transactions needed are those required to transfer the data to be placed in system memory. figure 21-12 and figure 21-13 illustrate the time benefit of the ?fast download procedure?. figure 21-12 slow download procedure loop figure 21-13 fast download procedure loop init:save rx, ry ry <- memory block address- 4 ? repeat:mfsprrx, dpdr data word to be moved to memory stwurx, 0x4(ry) until here  restore rx,ry external mfspr data stwu transaction internal activity external data transaction internal activity f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-43 the sequence of the instructions used in the ?fast download procedure? is the one il- lustrated in figure 21-11 with rx = r31 and ry = r30. this sequence is repeated infi- nitely until the ?end download procedure? command is issued to the debug port. note that, the internal general purpose register 31 is used for temporary storage data value. before beginning the ?fast download procedure? by the ?start download proce- dure command?, the value of the first memory block address, ? 4, must be written to the general purpose register 30. to end a download procedure, an ?end download procedure? command should be is- sued to the debug port, and then, additional data transaction should be sent by the development tool. this data word will not be placed into the system memory, but it is needed to stop the procedure gracefully. 21.6 software moni tor debugger support when in debug mode disable, a software monitor debugger can make use of all of the development support features defined in the cpu. when debug mode is disabled all events result in regular interrupt handling, i.e. the processor resumes execution in the corresponding interrupt handler. the exception cause register (ecr) and the debug enable register (der) only influence the assertion and negation of the freeze signal. 21.6.1 freeze indication the internal freeze signal is connected to all relevant internal modules. these modules can be programmed to stop all operations in response to the assertion of the freeze signal. in order to enable a software monitor debugger to broadcast the fact that the debug software is now executed, it is possible to assert and negate the internal freeze signal also when debug mode is disabled. the assertion and negation of the freeze signal when in debug mode disable is con- trolled by the exception cause register (ecr) and the debug enable register (der) as described in figure 21-6 . in order to assert the freeze signal the software needs to program the relevant bits in the debug enable register (der). in order to negate the freeze line the software needs to read the exception cause register (ecr) in order to clear it and perform an rfi instruction. if the exception cause register (ecr) is not cleared before the rfi is performed the freeze signal is not negated. therefore it is possible to nest inside a software monitor debugger without affecting the value of the freeze line although rfi may be performed a few times. only before the last rfi the software needs to clear the exception cause register (ecr). the above mechanism enables the software to accurately control the assertion and the negation of the freeze signal. 21.7 development support registers table 21-14 lists the registers used for development support. the registers are ac- cessed with the mtspr and mfspr instructions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-44 21.7.1 register protection table 21-15 and table 21-16 summarize protection features of development support registers during read and write accesses, respectively. table 21-14 development support programming model spr number (decimal) name 144 comparator a value register (cmpa) see table 21-17 for bit descriptions. 145 comparator b value register (cmpb) see table 21-17 for bit descriptions. 146 comparator c value register (cmpc) see table 21-17 for bit descriptions. 147 comparator d value register (cmpd) see table 21-17 for bit descriptions. 148 exception cause register (ecr) see table 21-27 for bit descriptions. 149 debug enable register (der) see table 21-28 for bit descriptions. 150 breakpoint counter a value and control register (counta) see table 21-25 for bit descriptions. 151 breakpoint counter b value and control register (countb) see table 21-26 for bit descriptions. 152 comparator e value register (cmpe) see table 21-18 for bit descriptions. 153 comparator f value register (cmpf) see table 21-18 for bit descriptions. 154 comparator g value register (cmpg) see table 21-20 for bit descriptions. 155 comparator h value register (cmph) see table 21-20 for bit descriptions. 156 l-bus support control register 1 (lctrl1) see table 21-23 for bit descriptions. 157 l-bus support control register 2 (lctrl2) see table 21-24 for bit descriptions. 158 i-bus support control register (ictrl) see table 21-21 for bit descriptions. 159 breakpoint address register (bar) see table 21-19 for bit descriptions. 630 development port data register (dpdr) see 21.7.13 development port data register (dpdr) for bit de- scriptions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-45 21.7.2 comparator a?d value registers (cmpa?cmpd) table 21-15 deve lopment support registers read access protection msr[pr] debug mode enable in debug mode result 00x read is performed. ecr is cleared when read. reading dpdr yields indeterminate data. 010 read is performed. ecr is not cleared when read. reading dpdr yields indeterminate data. 011 read is performed. ecr is cleared when read. 1xx program exception is generated. read is not performed. ecr is not cleared when read. table 21-16 development support registers write access protection msr[pr] debug mode enable in debug mode result 00x write is performed. write to ecr is ignored. writing to dpdr is ignored. 010 write is not performed. writing to dpdr is ignored. 011 write is performed. write to ecr is ignored. 1xx write is not performed. program exception is generated. cmpa?cmpd ? comparator a?d value register spr 144 ? spr 147 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 cmpad reset: unaffected 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 cmpad reserved reset: unaffected table 21-17 cmpa-cmp d bit descriptions bits mnemonic description 0:29 cmpad address bits to be compared 30:31 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-46 these registers are unaffected by reset. 21.7.3 comparator e?f value registers these registers are unaffected by reset. 21.7.4 breakpoint address register (bar) 21.7.5 comparator g?h value registers (cmpg?cmph) these registers are unaffected by reset. cmpe?cmpf ? comparator e?f value registers spr 152, 153 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 cmpef reset: unaffected table 21-18 cmpe-cmp f bit descriptions bits mnemonic description 0:31 cmpv address bits to be compared bar ? breakpoint address register spr 159 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 cmpef reset: unaffected table 21-19 bar bit descriptions bits mnemonic description 0:31 barv[0:31] the address of the load/store cycle that generated the breakpoint cmpg?cmph ? comparator g?h value registers spr 154, 155 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 cmpgh reset: unaffected table 21-20 cmpg-cmp h bit descriptions bits mnemonic description 0:31 cmpgh data bits to be compared f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-47 21.7.6 i-bus suppor t control register *changing the instruction show cycle programming starts to take effect only from the second instruction after the actual mtspr to ictrl. if the processor aborts a fetch of the target of a direct branch (due to an exception), the target is not always visible on the external pins. program trace is not affected by this phenomenon. ictrl ? i-bus support control register spr 158 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 cta ctb ctc ctd iwp0 iwp1 reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 iwp2 iwp3 siwp0 en siwp1 en siwp2 en siwp3 en diwp0 en diwp 1 en diwp 2 en diwp 3 en iifm isct_ser* reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-48 table 21-21 ictrl bit descriptions bits mnemonic description function 0:2 cta compare type of comparator a 0xx = not active (reset value) 100 = equal 101 = less than 110 = greater than 111 = not equal 3:5 ctb compare type of comparator b 6:8 ctc compare type of comparator c 9:11 ctd compare type of comparator d 12:13 iwp0 i-bus 1st watchpoint programming 0x = not active (reset value) 10 = match from comparator a 11 = match from comparators (a&b) 14:15 w1 i-bus 2nd watchpoint programming 0x = not active (reset value) 10 = match from comparator b 11 = match from comparators (a | b) 16:17 iwp2 i-bus 3rd watchpoint programming 0x = not active (reset value) 10 = match from comparator c 11 = match from comparators (c&d) 18:19 iwp3 i-bus 4th watchpoint programming 0x = not active (reset value) 10 = match from comparator d 11 = match from comparators (c | d) 20 siwp0en software trap enable selection of the 1st i-bus watchpoint 0 = trap disabled (reset value) 1 = trap enabled 21 siwp1en software trap enable selection of the 2nd i-bus watchpoint 22 siwp2en software trap enable selection of the 3rd i-bus watchpoint 23 siwp3en software trap enable selection of the 4th i-bus watchpoint 24 diwp0en development port trap enable se- lection of the 1st i-bus watchpoint (read only bit) 0 = trap disabled (reset value) 1 = trap enabled 25 diwp1en development port trap enable se- lection of the 2nd i-bus watchpoint (read only bit) 26 diwp2en development port trap enable se- lection of the 3rd i-bus watchpoint (read only bit) 27 diwp3en development port trap enable se- lection of the 4th i-bus watchpoint (read only bit) 28 iifm ignore first match, only for i-bus breakpoints 0 = do not ignore first match, used for ?go to x? (re- set value) 1 = ignore first match (used for ?continue?) 29:31 isct_ser instruction fetch show cycle and rcpu serialize control these bits control serialization and instruction fetch show cycles. see table 21-5 for the bit def- initions. note : changing the instruction show cycle pro- gramming starts to take effect only from the sec- ond instruction after the actual mtspr to ictrl. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-49 21.7.7 l-bus support control register 1 table 21-22 isct_ser bit descriptions serialize (ser) instruction fetch show cycle) control (isctl) functions selected 000 rcpu is fully serialized and show cycle will be performed for all fetched instructions (reset value) 001 rcpu is fully serialized and show cycle will be performed for all changes in the program flow 010 rcpu is fully serialized and show cycle will be performed for all indirect changes in the program flow 0 11 rcpu is fully serialized and no show cycles will be performed for fetched instructions 1 00 illegal. this mode should not be selected. 101 rcpu is not serialized (normal mode) and show cycle will be performed for all changes in the program flow 110 rcpu is not serialized (normal mode) and show cycle will be performed for all indirect changes in the program flow 111 rcpu is not serialized (normal mode) and no show cycles will be performed for fetched instructions lctrl1 ? l-bus support control register 1 spr 156 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 cte ctf ctg cth crwe crwf reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 csg csh susg sush cgbmsk chbmsk unused reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-50 lctrl1 is cleared following reset. 21.7.8 l-bus support control register 2 table 21-23 lctrl1 bit descriptions bits mnemonic description function 0:2 cte compare type, comparator e 0xx = not active (reset value) 100 = equal 101 = less than 110 = greater than 111 = not equal 3:5 ctf compare type, comparator f 6:8 ctg compare type, comparator g 9:11 cth compare type, comparator h 12:13 crwe select match on read/write of com- parator e 0x = don?t care (reset value) 10 = match on read 11 = match on write 14:15 crwf select match on read/write of com- parator f 16:17 csg compare size, comparator g 00 = reserved 01 = word 10 = half word 11 = byte (must be programmed to word for floating point compares) 18:19 csh compare size, comparator h 20 susg signed/unsigned operating mode for comparator g 0 = unsigned 1 = signed (must be programmed to signed for floating point compares) 21 sush signed/unsigned operating mode for comparator h 22:25 cgbmsk byte mask for 1st l-data compara- tor 0000 = all bytes are not masked 0001 = the last byte of the word is masked . . . 1111 = all bytes are masked 26:29 chbmsk byte mask for 2nd l-data compara- tor 30:31 ? reserved ? lctrl2 ? l-bus support control register 2 spr 157 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 lw0en lw0ia lw0 iadc lw0la lw0 ladc lw0ld lw0 lddc lw1en lw1ia lw1 iadc lw1la reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 lw1 ladc lw1ld lw1 lddc brk nomsk reserved dlw0 en dlw1 en slw0 en slw1 en reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-51 table 21-24 lctrl2 bit descriptions bits mnemonic description function 0 lw0en 1st l-bus watchpoint enable bit 0 = watchpoint not enabled (reset value) 1 = watchpoint enabled 1:2 lw0ia 1st l-bus watchpoint i-addr watchpoint selection 00 = first i-bus watchpoint 01 = second i-bus watchpoint 10 = third i-bus watchpoint 11 = fourth i-bus watchpoint 3lw0iadc 1st l-bus watchpoint care/don?t care i-addr events 0 = don?t care 1 = care 4:5 lw0la 1st l-bus watchpoint l-addr events selection 00 = match from comparator e 01 = match from comparator f 10 = match from comparators (e&f) 11 = match from comparators (e | f) 6 lw0ladc 1st l-bus watchpoint care/don?t care l-addr events 0 = don?t care 1 = care 7:8 lw0ld 1st l-bus watchpoint l-data events selection 00 = match from comparator g 01 = match from comparator h 10 = match from comparators (g&h) 11 = match from comparators (g | h) 9 lw0lddc 1st l-bus watchpoint care/don?t care l-data events 0 = don?t care 1 = care 10 lw1en 2nd l-bus watchpoint enable bit 0 = watchpoint not enabled (reset value) 1 = watchpoint enabled 11:12 lw1ia 2nd l-bus watchpoint i-addr watchpoint selection 00 = first i-bus watchpoint 01 = second i-bus watchpoint 10 = third i-bus watchpoint 11 = fourth i-bus watchpoint 13 lw1iadc 2nd l-bus watchpoint care/don?t care i-addr events 0 = don?t care 1 = care 14:15 lw1la 2nd l-bus watchpoint l-addr events selection 00 = match from comparator e 01 = match from comparator f 10 = match from comparators (e&f) 11 = match from comparators (e | f) 16 lw1ladc 2nd l-bus watchpoint care/don?t care l-addr events 0 = don?t care 1 = care 17:18 lw1ld 2nd l-bus watchpoint l-data events selection 00 = match from comparator g 01 = match from comparator h 10 = match from comparators (g&h) 11 = match from comparator (g | h) 19 lw1lddc 2nd l-bus watchpoint care/don?t care l-data events 0 = don?t care 1 = care 20 brknomsk internal breakpoints non-mask bit 0 = masked mode; breakpoints are recognized only when msr[ri]=1 (reset value) 1 = non-masked mode; breakpoints are always recognized 21:27 ? reserved ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-52 lctrl2 is cleared following reset. for each watchpoint, three control register fields (lwxia, lwxla, lwxld) must be programmed. for a watchpoint to be asserted, all three conditions must be detected. 21.7.9 breakpoint counter a value and control register counta[16:31] are cleared following reset; counta[0:15] are unaffected by reset. 28 dlw0en development port trap enable selection of the 1st l-bus watch- point (read only bit) 0 = trap disabled (reset value) 1 = trap enabled 29 dlw1en development port trap enable selection of the 2nd l-bus watchpoint (read only bit) 30 slw0en software trap enable selection of the 1st l-bus watchpoint 31 slw1en software trap enable selection of the 2nd l-bus watchpoint counta ? breakpoint counter a value and control register spr 150 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 cntv reset: unaffected 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 reserved cntc reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 21-25 brea kpoint counter a value and control register (counta) bit(s) name description 0:15 cntv counter preset value 16:29 ? reserved 30:31 cntc counter source select 00 = not active (reset value) 01 = i-bus first watchpoint 10 =l-bus first watchpoint 11 = reserved table 21-24 lctrl2 bit de scriptions (continued) bits mnemonic description function f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-53 21.7.10 breakpoint counter b value and control register countb[16:31] are cleared following reset; countb[0:15] are unaffected by reset. 21.7.11 exception cause register (ecr) the ecr indicates the cause of entry into debug mode. all bits are set by the hardware and cleared when the register is read when debug mode is disabled, or if the processor is in debug mode. attempts to write to this register are ignored. when the hardware sets a bit in this register, debug mode is entered only if debug mode is enabled and the corresponding mask bit in the der is set. all bits are cleared to zero following reset. countb ? breakpoint counter b value and control register spr 151 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 cntv reset: unaffected 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 reserved cntc reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 21-26 breakpoint counter b va lue and control register (countb) bit(s) name description 0:15 cntv counter preset value 16:29 ? reserved 30:31 cntc counter source select 00 = not active (reset value) 01 = i-bus second watchpoint 10 = l-bus second watchpoint 11 = reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-54 ecr ? exception cause register spr 148 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 rst chst p mce reserved exti ale pre fpuv e dece reserved syse tr fpas e reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 0 see re- serv ed itl- ber re- serv ed dtl- ber reserved lbrk ibrk ebrk d dpi reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 table 21-27 ecr bi t descriptions bit(s) name description 0?reserved 1 rst reset interrupt bit. this bit is set when the system reset pin is asserted. 2 chstp checkstop bit. set when the processor enters checkstop state. 3mce machine check interrupt bit. set when a machine check exception (other than one caused by a data storage or instruction storage error) is asserted. 4:5 ? reserved 6 exti external interrupt bit. set when the external interrupt is asserted. 7 ale alignment exception bit. set when the alignment exception is asserted. 8 pre program exception bit. set when the program exception is asserted. 9 fpuve floating point unavailable exception bit. set when the program exception is asserted. 10 dece decrementer exception bit. set when the decrementer exception is asserted. 11:12 ? reserved 13 syse system call exception bit. set when the system call exception is asserted. 14 tr trace exception bit. set when in single-step mode or when in branch trace mode. 15 fpase floating point assist exception bit. set when the floating point assist exception occurs. 16 ? reserved 17 see software emulation exception. set when the software emulation exception is asserted. 18 ? reserved 19 itlber implementation specific instruction protection error this bit is set as a result of an instruction protection error. results in debug mode entry if debug mode is enabled and the corresponding enable bit is set. 20 ? reserved 21 dtlber implementation specific data protection error this bit is set as a result of an data protection error. results in debug mode entry if debug mode is enabled and the corresponding enable bit is set. 22:27 ? reserved f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-55 21.7.12 debug enable register (der) this register enables the user to selectively mask the events that may cause the pro- cessor to enter into debug mode. 28 lbrk l-bus breakpoint exception bit. this bit is set as a result of the assertion of a load/store break- point. results in debug mode entry if debug mode is enabled and the corresponding enable bit is set. 29 ibrk i-bus breakpoint exception bit. this bit is set as a result of the assertion of an instruction break- point. results in debug mode entry if debug mode is enabled and the corresponding enable bit is set. 30 ebrk external breakpoint exception bit. set when an external breakpoint is asserted (by an on-chip imb or l-bus module, or by an external device or development system through the development port). this bit is set as a result of the assertion of an external breakpoint. results in debug mode entry if debug mode is enabled and the corresponding enable bit is set. 31 dpi development port interrupt bit. set by the development port as a result of a debug station non- maskable request or when debug mode is entered immediately out of reset. der ? debug enable register spr 149 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 rste chst pe mcee reserved extie alee pree fpu- vee de- cee reserved sy- see tre fpas e reset: 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 re- serv ed seee re- serv ed itl- bere re- serv ed dtl- bere reserved lbrk e ibrke ebrk e dpie reset: 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 table 21-28 der bi t descriptions bit(s) name description 0:1 ? reserved 1rste reset enable 0 = debug entry is disabled (reset value) 1 = debug entry is enabled 2 chstpe checkstop enable bit 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) 3mcee machine check exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 4:5 ? reserved table 21-27 ecr bit desc riptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-56 6 extie external interrupt enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 7alee alignment exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 8pree program exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 9 fpuvee floating point unavailable exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 10 decee decrementer exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 11:12 ? reserved 13 sysee system call exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 14 tre trace exception enable bit 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) 15 fpasee floating point assist exception enable bit. 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 16 ? reserved 17 seee software emulation exception enable bit 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 18 ? reserved 19 itlbere implementation specific instruction protection error enable bit. 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 20 ? reserved 21 dtlbere implementation specific data protection error enable bit. 0 = debug mode entry disabled (reset value) 1 = debug mode entry enabled 22:27 ? reserved 28 lbrke load/store breakpoint enable bit. 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) 29 ibrke instruction breakpoint interrupt enable bit. 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) 30 ebrke external breakpoint interrupt enable bit (development port, internal or external modules). 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) 31 dpie development port interrupt enable bit 0 = debug mode entry disabled 1 = debug mode entry enabled (reset value) table 21-28 der bit desc riptions (continued) bit(s) name description f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-57 21.7.13 development port data register (dpdr) this 32-bit special purpose register physically resides in the development port logic. it is used for data interchange between the core and the development system. an ac- cess to this register is initiated using mtspr and mfspr (spr 630) and implemented using a special bus cycle on the internal bus. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 development support motorola user?s manual rev. 15 october 2000 21-58 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-1 section 22 ieee 1149.1-compliant interface (jtag) the MPC555 / mpc556 includes dedicated user-accessible test logic that is fully com- patible with the ieee 1149.1-1990 standard test access port and boundary scan ar- chitecture . problems associated with testing high-density circuit boards have led to development of this standard under the sponsorship of the test technology commit- tee of ieee and the joint test action group (jtag). the MPC555 / mpc556 supports circuit-board test strategies based on this standard. this section is intended to be used with the supporting ieee 1149.1-1990 standard. the scope of this description includes those items required by the standard to be de- fined and, in certain cases, provides additional information specific to the implementa- tion. for internal details and applications of the standard, refer to the ieee 1149.1- 1990 document. an overview of the jtag pins on the MPC555 / mpc556 is shown in figure 22-1 . figure 22-1 jtag pins boundary scan cells (bsc) are placed at the digital boundary of the chip (normally the package pins). the boundary scan cells are chained together to form a boundary scan register (bsr). the data is serially shifted in through the serial port (tdi) and serially shifted out through the output port (tdo). 22.1 jtag interface block diagram a block diagram of the MPC555 / mpc556 implementation of the ieee 1149.1-1990 test logic is shown in figure 22-2 . tap bsc bsc bsc bsc bsc bsc bsc bsc bsc bsc bsc tdi tck tms trst tdo jcomp MPC555 / mpc556 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-2 figure 22-2 test logic block diagram 22.2 jtag signa l descriptions the MPC555 / mpc556 has five dedicated jtag pins, which are described in table 22-1 . the tdi and tdo scan ports are used to scan instructions as well as data into the various scan registers for jtag operations. the scan operation is controlled by the test access port (tap) controller, which in turn is controlled by the tms input se- quence. to enable jtag on reset for board test, bit 11 (dgpc select jtag pins) and bit 16 (prpm peripheral mode enable) of the reset configuration word should be held high during the rising edge of reset (see 7.5.2 hard reset configuration word ). these need to be configurable on the user board to allow jtag test of a board. to allow nor- mal operation of the board these bits need to be low in the reset configuration word. boundary scan register bypass m u x instruction apply & decode register 4-bit instruction register m u x tdo tdi tms tck trst 0 1 2 tap controller 3 jcomp f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-3 22.3 operating frequency the tck frequency must be between 5 mhz and 10 mhz. this pin is internally driven to a low value when disconnected. 22.4 tap controller trst is used to reset the tap controller asynchronously. the trst pin ensures that the jtag logic does not interfere with the normal operation of the chip. this pin is op- tional in the jtag specification. the tap controller changes state either on the rising edge of tck or when trst is asserted. the tdo signal remains in a high-impedance state except during the shift-dr or shift- ir controller states. during these controller states, tdo is updated on the falling edge of tck. the tap controller states are designed to meet the ieee 1149.1 standard. refer to figure 22-3 . table 22-1 jtag inte rface pin descriptions signal name input/ output (i/o) internal pull-up/ pull-down provided description tdi input pull-up test data input pin. sampled on the rising edge of tck. has a pull-up resistor. tdo output none test data output pin. actively driven during the shift-ir and shift- dr controller states. changes on the falling edge of tck. can be placed in high-impedance state. tms input pull-up test mode select pin. sampled on the rising edge of tck to se- quence the test controller?s state machine. has a pull-up resis- tor. tck input pull-down test clock input to synchronize the test logic. has a pull-down re- sistor. trst input pull-up tap controller asynchronous reset. provides initialization of the tap controller and other logic as required by the standard. has a pull-up resistor. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-4 figure 22-3 tap controller state machine 22.5 instruction register the MPC555 / mpc556 jtag implementation includes the public instructions (extest, sample/preload, and bypass), and also supports the clamp instruction. one addi- tional public instruction (hi-z) provides the capability for disabling all device output driv- ers. the MPC555 / mpc556 includes a 4-bit instruction register without parity consisting of a shift register with four parallel outputs. data is transferred from the shift register to the parallel outputs during the update-ir controller state. the four bits are used to decode the five unique instructions listed in table 22-2 . test logic reset run-test/idle select-dr_scan capture-dr shift-dr exit1-dr pause-dr exit2-dr update-dr select-ir_scan capture-ir shift-ir exit1-ir pause-ir exit2-ir update-ir 00 0 0 1 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 1 0 0 0 0 0 1 1 1 1 0 1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-5 the parallel output of the instruction register is reset to all ones in the test-logic-reset controller state. note that this preset state is equivalent to the bypass instruction. during the capture-ir controller state, the parallel inputs to the instruction shift register are loaded with the clamp command code. 22.5.1 extest the external test (extest) instruction selects the 346-bit boundary scan register. ex- test also asserts internal reset for the MPC555 / mpc556 system logic to force a pre- dictable beginning internal state while performing external boundary scan operations. by using the tap, the register is capable of scanning user-defined values into the out- put buffers, capturing values presented to input pins and controlling the output drive of three-state output or bi-directional pins. for more details on the function and use of extest, refer to the scan chain document. 22.5.2 sample/preload the sample/preload instructio n initializes the boundary scan register output cells prior to selection of extest. this initiali zation ensures that known data will appear on the outputs when entering the extest instruction. the sample/preload instruc- tion also provides a means to obtain a snapshot of system data and control signals. note since there is no internal synchronization between the scan chain clock (tck) and the system clock (clkout), the user must provide some form of external synchronization to achieve meaningful results. 22.5.3 bypass the bypass instruction selects the single-bit bypass register as shown in figure 22- 4 . this creates a shift register path from tdi to the bypass register and, finally, to tdo, circumventing the 463-bit boundary scan register. this instruction is used to enhance test efficiency when a component other than the MPC555 / mpc556 becomes the de- vice under test. table 22-2 instruction decoding code b3 b2 b1 b0 instruction 0000extest 0001sample/preload 0 x 1 x bypass 0100hi-z 0101clamp and bypass f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-6 figure 22-4 bypass register when the bypass register is selected by the current instruction, the shift register stage is set to a logic zero on the rising edge of tck in the capture-dr controller state. therefore, the first bit to be shifted out after selecting the bypass register will always be a logic zero. 22.5.4 clamp the clamp instruction selects the single-bit bypass register as shown in figure 22- 4 , and the state of all signals driven from system output pins is completely defined by the data previously shifted into the boundary scan register (e.g., using the sample/ preload instruction). 22.5.5 hi-z the hi-z instruction is provided as a manufacturer?s optional public instruction to pre- vent having to backdrive the output pins during circuit-board testing. when hi-z is in- voked, all output drivers, including the two-state drivers, are turned off (i.e., high impedance). the instruction selects the bypass register. 22.6 restrictions the MPC555 / mpc556 provides flexible control of external signals using the bound- ary scan register and extest or clamp instructions. as a result, the circuit board test environment must be designed to avoid signal contention which may result in de- vice destruction. 22.7 low-power stop mode the MPC555 / mpc556 features a low-power stop mode. the interaction of the scan chain interface with low-power stop mode is as follows: 1. the tap controller must be in the test-logic-reset state to either enter or remain in the low-power stop mode. leaving the tap controller in the test-logic-reset state negates the ability to achieve low-po wer, but does not otherwise affect de- vice functionality. 1 1 mux g1 c d to tdo from tdi 0 shift dr clock dr f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-7 2. the tck input is not blocked in low-power stop mode. to consume minimal power, the tck input should be externally connected to vdd or ground, al- though tck pin is internally connected to ground. 3. the tms, tdi and trst pins include on-chip pullup resistors. in low-power stop mode, these three pins should remain either unconnected or connected to vdd to achieve minimal power consumption. note for proper reset of the scan chain test logic, the best approach is to assert trst at power on reset (poreset ). 22.8 non-ieee 1149.1-1990 operation in non-ieee 1149.1-1990 operation, the ieee 1149.1-1990 test logic must be kept transparent to the system logic by forcin g and holding the tap controller into the test- logic-reset controller state. there are two methods of forcing and holding the control- ler to this state. the first is to assert the trst signal, forcing the tap into the test- logic-reset controller state. the second is to provide at least five tck pulses with tms held high. the best approach is to connect a pull down resistor to trst , or to connect it to poreset with a resistor. if bounday scan is required, the jtag controller should drive trst to the negated state (?1? value) following poreset . 22.9 boundary scan register the MPC555 / mpc556 scan chain implementation has a 346-bit boundary scan reg- ister. this register contains bits for all device signal and clock pins and associated con- trol signals. the xtal, extal and xfc pins are associated with analog signals and are not included in the boundary scan register. an ieee-1149.1 compliant boundary scan register has been included on the MPC555 / mpc556. this 346-bit boundary scan register can be connected between tdi and tdo when extest or sample/preload instru ctions are selected. this register is used for capturing signal pin data on the input pins, forcing fixed values on the output signal pins, and selecting the direction and drive characteristics (a logic value or high impedance) of the bidirectional and three-state signal pins. figure 22-5 through fig- ure 22-8 depict the various cell types. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-8 figure 22-5 output pin cell (o.pin) figure 22-6 observe-only input pin cell (i.obs) 1 1 mux g1 1 1 mux g1 c d c d from last cell clock dr update dr shift dr 1- extest | clamp data from to output buffer 0- otherwize logic system to next cell 1 1 mux g1 c d from last cell clock dr data to system logic input pin shift dr to next cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-9 ) figure 22-7 output control cell (io.ctl) figure 22-8 general arrangement of bidirectional pin cells the key to using the boundary scan register is knowing the boundary scan bit order and the pins that are associated with them. below in table 22-3 is the bit order starting 1 1 mux g1 c d c d from last cell clock dr update dr shift dr 1- extest | clamp output to output buffer 0- otherwise logic from system to next cell control 1 1 mux g1 i/o pin from last cell output data input data output enable i.obs en from system logic o.pin i/o.ctl to next pin pair to next cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-10 from the tdi input and going to the tdo output. this table uses the ?long? pin names of the MPC555 / mpc556. see table 2-6 for a translation of the long names to the short names found on the pinout information in figure 2-2 . the first column in the table defines the bit?s ordinal position in the boundary scan reg- ister. the shift register cell nearest tdi (i.e ., first to be shifted in) is defined as bit 1; the last bit to be shifted in is 345. the second column references one of the three MPC555 / mpc556 cell types depicted in figure 22-5 through figure 22-8 , which describe the cell structure for each type. the third column lists the pin name for all pin-related cells or defines the name of bidi- rectional control register bits. the fourth column lists the pin type. the last column in- dicates the associated boundary scan register control bit for bi-directional output pins. bi-directional pins include two scan cells for data (io.cell) as depicted in figure 22-8 . these bits are controlled by the cell shown in figure 22-7 . the value of the control bit controls the output function of the bidirectional pin. one or more bidirectional data cells can be serially connected to a control cell. table 22-3 boundary sc an bit definition bit cell type pin/cell name pin type output ctl cell 0 i.obs b_cnrx0 i ? 1 o.pin b_cntx0 o ? 2 io.pin b_tpuch0 io g251.ctl 3 io.ctl g251.ctl ? ? 4 io.pin b_tpuch1 io g252.ctl 5 io.ctl g252.ctl ? ? 6 io.pin b_tpuch2 io g253.ctl 7 io.ctl g253.ctl ? ? 8 io.pin b_tpuch3 io g254.ctl 9 io.ctl g254.ctl ? ? 10 io.pin b_tpuch4 io g255.ctl 11 io.ctl g255.ctl ? ? 12 io.pin b_tpuch5 io g256.ctl 13 io.ctl g256.ctl ? ? 14 io.pin b_tpuch6 io g257.ctl 15 io.ctl g257.ctl ? ? 16 io.pin b_tpuch7 io g258.ctl f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-11 17 io.ctl g258.ctl ? ? 18 io.pin b_tpuch8 io g259.ctl 19 io.ctl g259.ctl ? ? 20 io.pin b_tpuch9 io g260.ctl 21 io.ctl g260.ctl ? ? 22 io.pin b_tpuch10 io g261.ctl 23 io.ctl g261.ctl ? ? 24 io.pin b_tpuch11 io g262.ctl 25 io.ctl g262.ctl ? ? 26 io.pin b_tpuch12 io g263.ctl 27 io.ctl g263.ctl ? ? 28 io.pin b_tpuch13 io g264.ctl 29 io.ctl g264.ctl ? ? 30 io.pin b_tpuch14 io g265.ctl 31 io.ctl g265.ctl ? ? 32 io.pin b_tpuch15 io g266.ctl 33 io.ctl g266.ctl ? ? 34 io.pin b_t2clk io g267.ctl 35 io.ctl g267.ctl ? ? 36 io.pin a_t2clk io g268.ctl 37 io.ctl g268.ctl ? ? 38 io.pin a_tpuch0 io g269.ctl 39 io.ctl g269.ctl ? ? 40 io.pin a_tpuch1 io g302.ctl 41 io.ctl g302.ctl ? ? 42 io.pin a_tpuch2 io g303.ctl 43 io.ctl g303.ctl ? ? 44 io.pin a_tpuch3 io g304.ctl 45 io.ctl g304.ctl ? ? 46 io.pin a_tpuch4 io g305.ctl 47 io.ctl g305.ctl ? ? table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-12 48 io.pin a_tpuch5 io g306.ctl 49 io.ctl g306.ctl ? ? 50 io.pin a_tpuch6 io g307.ctl 51 io.ctl g307.ctl ? ? 52 io.pin a_tpuch7 io g308.ctl 53 io.ctl g308.ctl ? ? 54 io.pin a_tpuch8 io g309.ctl 55 io.ctl g309.ctl ? ? 56 io.pin a_tpuch9 io g310.ctl 57 io.ctl g310.ctl ? ? 58 io.pin a_tpuch10 io g311.ctl 59 io.ctl g311.ctl ? ? 60 io.pin a_tpuch11 io g312.ctl 61 io.ctl g312.ctl ? ? 62 io.pin a_tpuch12 io g313.ctl 63 io.ctl g313.ctl ? ? 64 io.pin a_tpuch13 io g314.ctl 65 io.ctl g314.ctl ? ? 66 io.pin a_tpuch14 io g315.ctl 67 io.ctl g315.ctl ? ? 68 io.pin a_tpuch15 io g316.ctl 69 io.ctl g316.ctl ? ? 70 i.obs a_an0_anw_pqb0 i ? 71 i.obs a_an1_anx_pqb1 i ? 72 i.obs a_an2_any_pqb2 i ? 73 i.obs a_an3_anz_pqb3 i ? 74 i.obs a_an48_pqb4 i ? 75 i.obs a_an49_pqb5 i ? 76 io.pin a_an50_pqb6 io g333.ctl 77 io.ctl g333.ctl ? ? 78 io.pin a_an51_pqb7 io g334.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-13 79 io.ctl g334.ctl ? ? 80 io.pin a_an52_ma0_pqa0 io g335.ctl 81 io.ctl g335.ctl ? ? 82 io.pin a_an53_ma1_pqa1 io g336.ctl 83 io.ctl g336.ctl ? ? 84 io.pin a_an54_ma2_pqa2 io g337.ctl 85 io.ctl g337.ctl ? ? 86 io.pin a_an55_pqa3 io g338.ctl 87 io.ctl g338.ctl ? ? 88 io.pin a_an56_pqa4 io g339.ctl 89 io.ctl g339.ctl ? ? 90 io.pin a_an57_pqa5 io g340.ctl 91 io.ctl g340.ctl ? ? 92 io.pin a_an58_pqa6 io g341.ctl 93 io.ctl g341.ctl ? ? 94 io.pin a_an59_pqa7 io g342.ctl 95 io.ctl g342.ctl ? ? 96 i.obs b_an0_anw_pqb0 i ? 97 i.obs b_an1_anx_pqb1 i ? 98 i.obs b_an2_any_pqb2 i ? 99 i.obs b_an3_anz_pqb3 i ? 100 i.obs b_an48_pqb4 i ? 101 i.obs b_an49_pqb5 i ? 102 io.pin b_an50_pqb6 io g349.ctl 103 io.ctl g349.ctl ? ? 104 io.pin b_an51_pqb7 io g350.ctl 105 io.ctl g350.ctl ? ? 106 io.pin b_an52_ma0_pqa0 io g351.ctl 107 io.ctl g351.ctl ? ? 108 io.pin b_an53_ma1_pqa1 io g352.ctl 109 io.ctl g352.ctl ? ? table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-14 110 io.pin b_an54_ma2_pqa2 io g353.ctl 111 io.ctl g353.ctl ? ? 112 io.pin b_an55_pqa3 io g354.ctl 113 io.ctl g354.ctl ? ? 114 io.pin b_an56_pqa4 io g355.ctl 115 io.ctl g355.ctl ? ? 116 io.pin b_an57_pqa5 io g356.ctl 117 io.ctl g356.ctl ? ? 118 io.pin b_an58_pqa6 io g357.ctl 119 io.ctl g357.ctl ? ? 120 io.pin b_an59_pqa7 io g358.ctl 121 io.ctl g358.ctl ? ? 122 i.obs etrig2 i ? 123 i.obs etrig1 i ? 124 io.pin mda11 io g365.ctl 125 io.ctl g365.ctl ? ? 126 io.pin mda12 io g366.ctl 127 io.ctl g366.ctl ? ? 128 io.pin mda13 io g367.ctl 129 io.ctl g367.ctl ? ? 130 io.pin mda14 io g368.ctl 131 io.ctl g368.ctl ? ? 132 io.pin mda15 io g369.ctl 133 io.ctl g369.ctl ? ? 134 io.pin mda27 io g370.ctl 135 io.ctl g370.ctl ? ? 136 io.pin mda28 io g371.ctl 137 io.ctl g371.ctl ? ? 138 io.pin mda29 io g372.ctl 139 io.ctl g372.ctl ? ? 140 io.pin mda30 io g403.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-15 141 io.ctl g403.ctl ? ? 142 io.pin mda31 io g404.ctl 143 io.ctl g404.ctl ? ? 144 io.pin mpwm0 io g405.ctl 145 io.ctl g405.ctl ? ? 146 io.pin mpwm1 io g406.ctl 147 io.ctl g406.ctl ? ? 148 io.pin mpwm2 io g407.ctl 149 io.ctl g407.ctl ? ? 150 io.pin mpwm3 io g408.ctl 151 io.ctl g408.ctl ? ? 152 io.pin mpwm16 io g409.ctl 153 io.ctl g409.ctl ? ? 154 io.pin mpwm17 io g410.ctl 155 io.ctl g410.ctl ? ? 156 io.pin mpwm18 io g411.ctl 157 io.ctl g411.ctl ? ? 158 io.pin mpwm19 io g412.ctl 159 io.ctl g412.ctl ? ? 160 io.pin mpio32b5 io g413.ctl 161 io.ctl g413.ctl ? ? 162 io.pin mpio32b6 io g414.ctl 163 io.ctl g414.ctl ? ? 164 io.pin mpio32b7 io g415.ctl 165 io.ctl g415.ctl ? ? 166 io.pin mpio32b8 io g416.ctl 167 io.ctl g416.ctl ? ? 168 io.pin mpio32b9 io g417.ctl 169 io.ctl g417.ctl ? ? 170 io.pin mpio32b10 io g418.ctl 171 io.ctl g418.ctl ? ? table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-16 172 io.pin mpio32b11 io g419.ctl 173 io.ctl g419.ctl ? ? 174 io.pin mpio32b12 io g420.ctl 175 io.ctl g420.ctl ? ? 176 io.pin mpio32b13 io g421.ctl 177 io.ctl g421.ctl ? ? 178 io.pin mpio32b14 io g422.ctl 179 io.ctl g422.ctl ? ? 180 io.pin mpio32b15 io g423.ctl 181 io.ctl g423.ctl ? ? 182 io.pin vf0_mpio32b0 io g424.ctl 183 io.ctl g424.ctl ? ? 184 io.pin vf1_mpio32b1 io g425.ctl 185 io.ctl g425.ctl ? ? 186 io.pin vf2_mpio32b2 io g426.ctl 187 io.ctl g426.ctl ? ? 188 io.pin vfls0_mpio32b3 io g427.ctl 189 io.ctl g427.ctl ? ? 190 io.pin vfls1_mpio32b4 io g428.ctl 191 io.ctl g428.ctl ? ? 192 o.pin a_cntx0 o ? 193 i.obs a_cnrx0 i ? 194 io.pin pcs0_ss_b_qgpio0 io g435.ctl 195 io.ctl g435.ctl ? ? 196 io.pin pcs1_qgpio1 io g436.ctl 197 io.ctl g436.ctl ? ? 198 io.pin pcs2_qgpio2 io g437.ctl 199 io.ctl g437.ctl ? ? 200 io.pin pcs3_qgpio3 io g438.ctl 201 io.ctl g438.ctl ? ? 202 io.pin miso_qgpio4 io g439.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-17 203 io.ctl g439.ctl ? ? 204 io.pin mosi_qgpio5 io g440.ctl 205 io.ctl g440.ctl ? ? 206 io.pin sck_qgpio6 io g441.ctl 207 io.ctl g441.ctl ? ? 208 i.obs eck i ? 209 o.pin txd1_qgpo1 o ? 210 o.pin txd2_qgpo2 o ? 211 i.obs rxd1_qgpi1 i ? 212 i.obs rxd2_qgpi2 i ? 213 i.obs epee i ? 214 o.pin engclk_buclk o ? 215 i.obs extclk i ? 216 o.pin clkout o ? 217 i.obs poreset_b i ? 218 i.obs sreset_b io ? 219 o.pin sreset_b io g465.ctl 220 io.ctl g465.ctl ? ? 221 i.obs hreset_b io ? 222 o.pin hreset_b io g466.ctl 223 io.ctl g466.ctl ? ? 224 io.pin rstconf_b_texp io g467.ctl 225 io.ctl g467.ctl ? ? 226 i.obs irq7_b_modck3 i ? 227 i.obs irq6_b_modck2 i ? 228 io.pin irq5_b_sgpioc5_modck1 io g503.ctl 229 io.ctl g503.ctl ? ? 230 io.pin data_sgpiod[16] io g112.ctl 231 io.ctl g112.ctl ? ? 232 io.pin data_sgpiod[17] io g112.ctl 233 io.pin data_sgpiod[18] io g112.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-18 234 io.pin data_sgpiod[14] io g111.ctl 235 io.pin data_sgpiod[15] io g111.ctl 236 io.pin data_sgpiod[19] io g112.ctl 237 io.pin data_sgpiod[20] io g112.ctl 238 io.pin data_sgpiod[12] io g111.ctl 239 io.pin data_sgpiod[13] io g111.ctl 240 io.pin data_sgpiod[21] io g112.ctl 241 io.pin data_sgpiod[10] io g111.ctl 242 io.pin data_sgpiod[11] io g111.ctl 243 io.pin data_sgpiod[22] io g112.ctl 244 io.pin data_sgpiod[23] io g112.ctl 245 io.pin data_sgpiod[8] io g111.ctl 246 io.ctl g111.ctl ? ? 247 io.pin data_sgpiod[9] io g111.ctl 248 io.pin data_sgpiod[24] io g524.ctl 249 io.ctl g524.ctl ? ? 250 io.pin data_sgpiod[25] io g525.ctl 251 io.ctl g525.ctl ? ? 252 io.pin data_sgpiod[6] io g110.ctl 253 io.pin data_sgpiod[7] io g110.ctl 254 io.pin data_sgpiod[26] io g528.ctl 255 io.ctl g528.ctl ? ? 256 io.pin data_sgpiod[27] io g529.ctl 257 io.ctl g529.ctl ? ? 258 io.pin data_sgpiod[4] io g110.ctl 259 io.pin data_sgpiod[5] io g110.ctl 260 io.pin data_sgpiod[28] io g534.ctl 261 io.ctl g534.ctl ? ? 262 io.pin data_sgpiod[29] io g535.ctl 263 io.ctl g535.ctl ? ? 264 io.pin data_sgpiod[2] io g110.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-19 265 io.pin data_sgpiod[3] io g110.ctl 266 io.pin data_sgpiod[30] io g540.ctl 267 io.ctl g540.ctl ? ? 268 io.pin data_sgpiod[0] io g110.ctl 269 io.ctl g110.ctl ? ? 270 io.pin data_sgpiod[1] io g110.ctl 271 io.pin data_sgpiod[31] io g545.ctl 272 io.ctl g545.ctl ? ? 273 io.pin addr_sgpioa[29] io g102.ctl 274 io.pin addr_sgpioa[25] io g102.ctl 275 io.pin addr_sgpioa[26] io g102.ctl 276 io.pin addr_sgpioa[27] io g102.ctl 277 io.pin addr_sgpioa[28] io g102.ctl 278 io.pin addr_sgpioa[24] io g102.ctl 279 io.ctl g102.ctl ? ? 280 io.pin addr_sgpioa[23] io g101.ctl 281 io.pin addr_sgpioa[22] io g101.ctl 282 io.pin addr_sgpioa[30] io g102.ctl 283 io.pin addr_sgpioa[21] io g101.ctl 284 io.pin addr_sgpioa[20] io g101.ctl 285 io.pin addr_sgpioa[8] io g100.ctl 286 io.ctl g100.ctl ? ? 287 io.pin addr_sgpioa[31] io g102.ctl 288 io.pin addr_sgpioa[19] io g101.ctl 289 io.pin addr_sgpioa[18] io g101.ctl 290 io.pin addr_sgpioa[9] io g100.ctl 291 io.pin addr_sgpioa[17] io g101.ctl 292 io.pin addr_sgpioa[16] io g101.ctl 293 io.ctl g101.ctl ? ? 294 io.pin addr_sgpioa[10] io g100.ctl 295 io.pin addr_sgpioa[15] io g100.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-20 296 io.pin addr_sgpioa[14] io g100.ctl 297 io.pin addr_sgpioa[13] io g100.ctl 298 io.pin addr_sgpioa[11] io g100.ctl 299 io.pin addr_sgpioa[12] io g100.ctl 300 io.pin bi_b_sts_b io g205.ctl 301 io.ctl g205.ctl ? ? 302 io.pin burst_b io g131.ctl 303 io.pin bdip_b io g207.ctl 304 io.ctl g207.ctl ? ? 305 io.pin ta_b io g208.ctl 306 io.ctl g208.ctl ? ? 307 io.pin ts_b io g131.ctl 308 io.pin tsiz1 io g130.ctl 309 io.pin tsiz0 io g130.ctl 310 io.ctl g130.ctl ? ? 311 io.pin tea_b io g214.ctl 312 io.ctl g214.ctl ? ? 313 o.pin oe_b o ? 314 io.pin rd_wr_b io g131.ctl 315 io.ctl g131.ctl ? ? 316 o.pin cs3_b o ? 317 o.pin cs2_b o ? 318 o.pin cs1_b o ? 319 o.pin cs0_b o ? 320 o.pin we_b_at[3] o ? 321 o.pin we_b_at[2] o ? 322 o.pin we_b_at[1] o ? 323 o.pin we_b_at[0] o ? 324 io.pin br_b_vf1_iwp2 io g227.ctl 325 io.ctl g227.ctl ? ? 326 io.pin bg_b_vf0_lwp1 io g228.ctl table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-21 327 io.ctl g228.ctl ? ? 328 io.pin bb_b_vf2_iwp3 io g229.ctl 329 io.ctl g229.ctl ? ? 330 io.pin sgpioc7_irqout_b_lwp0 io g230.ctl 331 io.ctl g230.ctl ? ? 332 io.pin irq1_b_rsv_b_sgpioc1 io g231.ctl 333 io.ctl g231.ctl ? ? 334 io.pin irq0_b_sgpioc0 io g232.ctl 335 io.ctl g232.ctl ? ? 336 io.pin irq2_b_cr_b_sgpioc2 io g233.ctl 337 io.ctl g233.ctl ? ? 338 io.pin irq4_b_at2_sgpioc4 io g234.ctl 339 io.ctl g234.ctl ? ? 340 io.pin irq3_b_kr_b_retry_b_sgpio c3 io g237.ctl 341 io.ctl g237.ctl ? ? 342 o.pin iwp0_vfls0 o ? 343 o.pin iwp1_vfls1 o ? 344 io.pin sgpioc6_frz_ptr_b io g240.ctl 345 io.ctl g240.ctl ? ? table 22-3 boundary scan bit definition (continued) bit cell type pin/cell name pin type output ctl cell f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 ieee 1149.1-compliant interface (jtag) motorola user?s manual rev. 15 october 2000 22-22 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-1 appendix a MPC555 / mpc556 internal memory map the tables below use the following notations. in the access column: s = supervisor access only u= user access t = test access in the reset column: s = sreset h = hreset m = module reset por = power-on reset u = unchanged x = unknown the codes in the reset column indicate whic h reset has an effect on register values. index of memory map tables table a-1 spr (special purpose registers) table a-2 cmf (cdr monet fl ash eeprom) flash array table a-3 usiu (unified system interface unit) table a-4 cmf (cdr m onet flash eeprom) table a-5 dptram (dual-port tpu ram) table a-6 dptram array table a-7 tpu3 (time processor unit) table a-8 qadc64 (queued an alog-to-digital converter) table a-9 qsmcm (queued seri al multi-channel module) table a-10 mios1 (modular input/output subsystem) table a-11 toucan (can 2.0b controller) table a-12 uimb (u-bus to imb3 bus interface) table a-13 sram (static ram access memory) table a-14 sram (static ram access memory) array f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-2 table a-1 spr (special purpose registers) address access symbol register size reset msr s msr machine state register. see table 3-12 for bit descriptions 32 s spr 1 u xer integer exception register. see table 3-10 for bit descriptions 32 u spr 8 u lr link register. see 3.7.6 link register (lr) for bit descrip- tions. 32 u spr 9 u ctr count register. see 3.7.7 count register (ctr) for bit de- scriptions. 32 u spr 18 s dsisr dae/source instruction service register. see 3.9.2 dae/source instruction service register (dsisr) for bit descriptions. 32 u spr 19 s dar data address register. see 3.9.3 data address register (dar) for bit descriptions. 32 u spr 22 s dec decrementer register. see 3.9.5 decrementer register (dec) for bit descriptions. 32 u spr 26 s srr0 machine status save/restore register 0. see 3.9.6 machine status save/restore register 0 (srr0) for bit descriptions. 32 u spr 27 s srr1 machine status save/restore register 1. see 3.9.7 machine status save/restore register 1 (srr1) for bit descriptions. 32 u spr 80 s eie external interrupt enable register see 3.9.10.1 eie, eid, and nri special-pur- pose registers for bit descriptions. 32 ? spr 81 s eid external interrupt disable register see 3.9.10.1 eie, eid, and nri special-pur- pose registers for bit descriptions. 32 ? spr 82 s nri non-recoverable interrupt register see 3.9.10.1 eie, eid, and nri special-pur- pose registers for bit descriptions. 32 ? spr 144 s cmpa comparator a value register. see table 21-17 for bit descriptions. 32 u spr 145 s cmpb comparator b value register. see table 21-17 for bit descriptions. 32 u spr 146 s cmpc comparator c value register. see table 21-17 for bit descriptions. 32 u spr 147 s cmpd comparator d value register. see table 21-17 for bit descriptions. 32 u spr 148 s ecr exception cause register see table 21-27 for bit descriptions. 32 s spr 149 s der debug enable register see table 21-28 for bit descriptions. 32 s spr 150 s counta breakpoint counter a value and control reg- ister see table 21-25 for bit descriptions. 32 u f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-3 spr 151 s countb breakpoint counter b value and control reg- ister see table 21-26 for bit descriptions. 32 u spr 152 s cmpe comparator g value register. see table 21-20 for bit descriptions. 32 u spr 153 s cmpf comparator h value register. see table 21-20 for bit descriptions. 32 u spr 154 s cmpg comparator e value register. see table 21-18 for bit descriptions. 32 u spr 155 s cmph comparator f value register. see table 21-18 for bit descriptions. 32 u spr 156 s lctrl1 l-bus support control register 1 see table 21-23 for bit descriptions. 32 s spr 157 s lctrl2 l-bus support control register 2 see table 21-24 for bit descriptions. 32 s spr 158 s ictrl i-bus support control register. see table 21-21 for bit descriptions. 32 s spr 159 s bar breakpoint address register. see table 21-19 for bit descriptions. 32 u spr 268, 269 u read only tb time base (read only). see table 3-11 for bit descriptions. 64 u spr 272 s sprg0 general special purpose registers. see 3.9.8 general sprs (sprg0?sprg3) for bit descriptions. 32 u spr 273 s sprg1 general special purpose registers. see 3.9.8 general sprs (sprg0?sprg3) for bit descriptions. 32 u spr 274 s sprg2 general special purpose registers. see 3.9.8 general sprs (sprg0?sprg3) for bit descriptions. 32 u spr 275 s sprg3 general special purpose registers. see 3.9.8 general sprs (sprg0?sprg3) for bit descriptions. 32 u spr 284, 285 s write only tb time base register (write only). see table 3-14 for bit descriptions. 64 u spr 287 s read only pvr processor version registers. see table 3-16 for bit descriptions. 32 u spr 528 s mi_gra global region attribute register. see table 4-7 for bit descriptions. 32 h spr 536 s l2u_gra l2u global region attribute register. see table 11-10 for bit descriptions. 32 por, h spr 560 s bbcmcr bbc module configuration register. see table 4-8 for bit descriptions. 32 u spr 568 u l2u_mcr l2u module configuration register. see table 11-7 for bit descriptions. 32 por, h spr 630 s dpdr development port data register. 32 u spr 638 s immr internal memory mapping register. see table 6-11 for bit descriptions. 32 h table a-1 spr (special pur pose registers) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-4 spr 784 s mi_rba0 region address register 0. see table 4-5 for bit descriptions. 32 u spr 785 s mi_rba1 region address register 1. see table 4-5 for bit descriptions. 32 u spr 786 s mi_rba2 region address register 2. see table 4-5 for bit descriptions. 32 u spr 787 s mi_rba3 region address register 3. see table 4-5 for bit descriptions. 32 u spr 792 s l2u_rba0 l2u region 0 address register. see table 11-8 for bit descriptions. 32 por, h spr 793 s l2u_rba1 l2u region 1 address register. see table 11-8 for bit descriptions. 32 por, h spr 794 s l2u_rba2 l2u region 2 address register. see table 11-8 for bit descriptions. 32 por, h spr 795 s l2u_rba3 l2u region 3address register. see table 11-8 for bit descriptions. 32 por, h spr 816 s mi_ra0 region attribute register 0. see table 4-6 for bit descriptions. 32 u spr 817 s mi_ra1 region attribute register 1. see table 4-6 for bit descriptions. 32 u spr 818 s mi_ra2 region attribute register 2. see table 4-6 for bit descriptions. 32 u spr 819 s mi_ra3 region attribute register 3. see table 4-6 for bit descriptions. 32 u spr 824 s l2u_ra0 l2u region 0 attribute register. see table 11-9 for bit descriptions. 32 por, h spr 825 s l2u_ra1 l2u region 1 attribute register. see table 11-9 for bit descriptions. 32 por, h spr 826 s l2u_ra2 l2u region 2 attribute register. see table 11-9 for bit descriptions. 32 por, h spr 827 s l2u_ra3 l2u region 3 attribute register. see table 11-9 for bit descriptions. 32 por, h spr 1022 s fpecr floating-point exception cause register. see 3.9.10.2 floating-point exception cause register (fpecr) for bit descriptions. 32 s table a-2 cmf (cdr monet fl ash eeprom) flash array address access symbol register size reset 0x00 0000 ? 0x03 ffff cmf_a flash array 8, 16, 32 ? 0x04 0000 ? 0x06 ffff cmf_b flash array 8, 16, 32 ? table a-1 spr (special pur pose registers) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-5 table a-3 usiu (unified system interface unit) address access symbol register size reset 0x2f c000 u 1 siumcr siu module configuration register. see table 6-5 for bit descriptions. 32 h 0x2f c004 u 2 sypcr system protection control register. see table 6-13 for bit descriptions. 32 h 0x2f c008 ? ? reserved ? ? 0x2f c00e u, write only swsr software service register. see table 6-14 for bit descriptions. 16 s 0x2f c010 u sipend interrupt pending register. see 6.13.2.1 sipend register for bit descrip- tions. 32 s 0x2f c014 u simask interrupt mask register. see 6.13.2.2 siu interrupt mask register (simask) for bit descriptions. 32 s 0x2f c018 u siel interrupt edge level mask. see 6.13.2.3 siu interrupt edge level reg- ister (siel) for bit descriptions. 32 h 0x2f c01c u, read only sivec interrupt vector. see 6.13.2.4 siu interrupt vector register for bit descriptions. 32 ? 0x2f c020 u tesr transfer error status register. see table 6-15 for bit descriptions. 32 s 0x2f c024 u sgpiodt1 usiu general-purpose i/o data register 1. see table 6-21 for bit descriptions. 32 h 0x2f c028 u sgpiodt2 usiu general-purpose i/o data register 2. see table 6-22 for bit descriptions. 32 h 0x2f c02c u sgpiocr usiu general-purpose i/o control register. see table 6-23 for bit descriptions. 32 h 0x2f c030 u emcr external master mode control register. see table 6-12 for bit descriptions. 32 h 0x2f c03c u pdmcr pads module configuration register. see table 2-3 for bit descriptions. 32 h 0x2f c040 ? 0x2f c0fc ??reserved ?? memory controller registers 0x2f c100 u br0 base register 0. see table 10-7 for bit descriptions. 32 h 0x2f c104 u or0 option register 0. see table 10-8 for bit descriptions. 32 h 0x2f c108 u br1 base register 1. see table 10-7 for bit descriptions. 32 h 0x2f c10c u or1 option register 1. see table 10-8 for bit descriptions. 32 h 0x2f c110 u br2 base register 2. see table 10-7 for bit descriptions. 32 h 0x2f c114 u or2 option register 2. see table 10-8 for bit descriptions. 32 h 0x2f c118 u br3 base register 3. see table 10-7 for bit descriptions. 32 h f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-6 0x2f c11c u or3 option register 3. see table 10-8 for bit descriptions. 32 h 0x2f c120 ? 0x2f c13c ??reserved ?? 0x2f c140 u dmbr dual-mapping base register. see table 10-9 for bit descriptions. 32 h 0x2f c144 u dmor dual-mapping option register. see table 10-10 for bit descriptions. 32 h 0x2f c148 ? 0x2f c174 ??reserved ?? 0x2f c178 u mstat memory status. see table 10-6 for bit descriptions. 16 h system integration timers 0x2f c200 u 3 tbscr time base status and control. see table 6-16 for bit descriptions. 16 h 0x2f c204 u 3 tbref0 time base reference 0. see 6.13.4.3 time base reference regis- ters for bit descriptions. 32 u 0x2f c208 u 3 tbref1 time base reference 1. see 6.13.4.3 time base reference regis- ters for bit descriptions. 32 u 0x2f c20c ? 0x2f c21c ??reserved ?? 0x2f c220 u 4 rtcsc real time clock status and control. see table 6-17 for bit descriptions. 16 h 0x2f c224 u 4 rtc real time clock. see 6.13.4.6 real-time clock register (rtc) for bit descriptions. 32 u 0x2f c228 t 4 rtsec real time alarm seconds, reserved. 32 ? 0x2f c22c u 4 rtcal real time alarm. see 6.13.4.7 real-time clock alarm regis- ter (rtcal) for bit descriptions. 32 u 0x2f c230 ? 0x2f c23c ??reserved ?? 0x2f c240 u 3 piscr pit status and control. see table 6-18 for bit descriptions. 16 h 0x2f c244 u 3 pitc pit count. see table 6-19 for bit descriptions. 32 (half re- served) u 0x2f c248 u, read only pitr pit register. see table 6-20 for bit descriptions. 32 (half re- served) u 0x2f c24c ? 0x2f c27c ? reserved ? ? clocks and reset 0x2f c280 u 2 sccr system clock control register. see table 8-9 for bit descriptions. 32 h table a-3 usiu (unified system interface unit) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-7 0x2f c284 u 3,5,6 plprcr pll low power and reset control register. see table 8-10 for bit descriptions. 32 h 0x2f c288 u 3 rsr reset status register. see table 7-3 for bit descriptions. 16 por 0x2f c28c u colir change of lock interrupt register. see table 8-11 for bit descriptions. 16 u 0x2f c290 u vsrmcr vddsrm control register. see table 8-12 for bit descriptions. 16 u 0x2f c294 ? 0x2f c2fc ??reserved ?? system integration timer keys 0x2f c300 u tbscrk time base status and control key. see table 8-8 for bit descriptions. 32 por 0x2f c304 u tbref0k time base reference 0 key. see table 8-8 for bit descriptions. 32 por 0x2f c308 u tbref1k time base reference 1 key. see table 8-8 for bit descriptions. 32 por 0x2f c30c u tbk time base and decrementer key. see table 8-8 for bit descriptions. 32 por 0x2f c310 ? 0x2f c31c ??reserved ?? 0x2f c320 u rtcsck real-time clock status and control key. see table 8-8 for bit descriptions. 32 por 0x2f c324 u rtck real-time clock key. see table 8-8 for bit descriptions. 32 por 0x2f c328 u rtseck real-time alarm seconds key. see table 8-8 for bit descriptions. 32 por 0x2f c32c u rtcalk real-time alarm key. see table 8-8 for bit descriptions. 32 por 0x2f c330 ? 0x2f c33c ??reserved ?? 0x2f c340 u piscrik pit status and control key. see table 8-8 for bit descriptions. 32 por 0x2f c344 u pitck pit count key. see table 8-8 for bit descriptions. 32 por 0x2f c348 ? 0x2f c37c ??reserved ?? clocks and reset keys 0x2f c380 u sccrk system clock control key. see table 8-8 for bit descriptions. 32 por 0x2f c384 u plprcrk pll low-power and reset control register key. see table 8-8 for bit descriptions. 32 por 0x2f c388 u rsrk reset status register key. see table 8-8 for bit descriptions. 32 por 0x2f c38c ? 0x2f c3fc ? reserved ? ? table a-3 usiu (unified system interface unit) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-8 notes: 1. entire register is locked if bit 15 (dlk) is set. 2. write once after power on reset (por). 3. must use the key register to unlock if it has been locked by a key register, see 8.9.3.2 keep alive power regis- ters lock mechanism . 4. locked after power on reset (por). a write of 0x55ccaa33 must performed to the key register to unlock. see 8.9.3.2 keep alive power registers lock mechanism . 5. can have bits 0:11 (mf bits) write-protected by setting bit 4 (mfpdl) in the sccr register to 1. bit 21 (csrc) and bits 22:23 (lpm) can be locked by setting bit 5 (lpml) of the sccr register to 1. 6. bit 24 (csr) is write-once after soft reset. table a-4 cmf (cdr monet flash eeprom) address access symbol register size reset cmf_a 0x2f c800 s 1 notes: 1. bit 3 (fic) is write-once. bit 0 (lock ) is write-once unless in freeze or test mode. cmfmcr cmf_a eeprom configuration register. see table 19-2 for bit descriptions. 32 por, h 0x2f c804 s cmftst cmf_a eeprom test register. see table 19-3 for bit descriptions. 32 por, h 0x2f c808 s cmfctl cmf_a eeprom high voltage control reg- ister. see table 19-6 for bit descriptions. 32 por, h cmf_b 0x2f c840 s 1 cmfmcr cmf_b eeprom configuration register. see table 19-2 for bit descriptions. 32 por, h 0x2f c844 s cmftst cmf_b eeprom test register. see table 19-3 for bit descriptions. 32 por, h 0x2f c848 s cmfctl cmf_b eeprom high voltage control reg- ister. see table 19-6 for bit descriptions. 32 por, h f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-9 table a-5 dptram (dual-port tpu ram) address access symbol register size reset 0x30 0000 s dptmcr dpt module configuration register. see table 18-2 for bit descriptions. 16 s 0x30 0002 t ramtst test register, factory test only. 16 s 0x30 0004 s 1 notes: 1. entire register is write-once. rambar ram array address register. see table 18-3 for bit descriptions. 16 s 0x30 0006 s, read only misrh multiple input signature register high. see 18.3.4 misr high (misrh) and misr low (misrl) for bit descriptions. 16 s 0x30 0008 s, read only misrl multiple input signature register low. see 18.3.4 misr high (misrh) and misr low (misrl) for bit descriptions. 16 s 0x30 000a s, read only miscnt misc counter. see 18.3.5 misc counter (miscnt) for bit descriptions. 16 s table a-6 dptram array address access symbol register size reset 0x30 2000 ? 0x30 37ff u, s 1 notes: 1. access to the dptram array through the imb3 bus is disabled once bit 5 (emu) of either tpumcr is set. dptram array ? ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-10 table a-7 tpu3 (tim e processor unit) address access symbol register size reset tpu_a (note: bit descriptions apply to tpu_b as well) 0x30 4000 s 1 tpumcr_a tpu3_a module configuration register. see table 17-6 for bit descriptions. 16 only s, m 0x30 4002 t tcr_a tpu3_a test configuration register. 16 s, m 0x30 4004 s dscr_a tpu3_a development support control regis- ter. see table 17-7 for bit descriptions. 16 2 s, m 0x30 4006 s dssr_a tpu3_a development support status regis- ter. see table 17-8 for bit descriptions. 16 2 s, m 0x30 4008 s ticr_a tpu3_a interrupt configuration register. see table 17-9 for bit descriptions. 16 2 s, m 0x30 400a s cier_a tpu3_a channel interrupt enable register. see table 17-10 for bit descriptions. 16 2 s, m 0x30 400c s cfsr0_a tpu3_a channel function selection register 0. see table 17-11 for bit descriptions. 16 2 s, m 0x30 400e s cfsr1_a tpu3_a channel function selection register 1. see table 17-11 for bit descriptions. 16 2 s, m 0x30 4010 s cfsr2_a tpu3_a channel function selection register 2. see table 17-11 for bit descriptions. 16 2 s, m 0x30 4012 s cfsr3_a tpu_a channel function selection register 3. see table 17-11 for bit descriptions. 16 2 s, m 0x30 4014 s/u 3 hsqr0_a tpu_a host sequence register 0. see table 17-12 for bit descriptions. 16 2 s, m 0x30 4016 s/u 3 hsqr1_a tpu_a host sequence register 1. see table 17-12 for bit descriptions. 16 2 s, m 0x30 4018 s/u 3 hsrr0_a tpu_a host service request register 0. see table 17-13 for bit descriptions. 16 2 s, m 0x30 401a s/u 3 hsrr1_a tpu_a host service request register 1. see table 17-13 for bit descriptions. 16 2 s, m 0x30 401c s cpr0_a tpu_a channel priority register 0. see table 17-14 for bit descriptions. 16 2 s, m 0x30 401e s cpr1_a tpu_a channel priority register 1. see table 17-14 for bit descriptions. 16 2 s, m 0x30 4020 s cisr_a tpu_a channel interrupt status register. see table 17-16 for bit descriptions. 16 s, m 0x30 4022 t lr_a tpu_a link register 16 2 s, m 0x30 4024 t sglr_a tpu_a service grant latch register 16 2 s, m 0x30 4026 t dcnr_a tpu_a decoded channel number register 16 2 s, m 0x30 4028 s 4 tpumcr2_a tpu_a module configuration register 2. see table 17-17 for bit descriptions. 16 2 s, m f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-11 0x30 402a s tpumcr3_a tpu_a module configuration register 3. see table 17-20 for bit descriptions. 16 2 s, m 0x30 402c t isdr_a tpu_a internal scan data register 16, 32 2 0x30 402e t iscr_a tpu_a internal scan control register 16, 32 2 0x30 4100 ? 0x30 410f s/u 3 ? tpu_a channel 0 parameter registers 16, 32 2 0x30 4110 ? 0x30 411f s/u 3 ? tpu_a channel 1 parameter registers 16, 32 2 0x30 4120 ? 0x30 412f s/u 3 ? tpu_a channel 2 parameter registers. 16, 32 2 0x30 4130 ? 0x30 413f s/u 3 ? tpu_a channel 3 parameter registers. 16, 32 2 0x30 4140 ? 0x30 414f s/u 3 ? tpu_a channel 4 parameter registers 16, 32 2 0x30 4150 ? 0x30 415f s/u 3 ? tpu_a channel 5 parameter registers 16, 32 2 0x30 4160 ? 0x30 416f s/u 3 ? tpu_a channel 6 parameter registers 16, 32 2 0x30 4170 ? 0x30 417f s/u 3 ? tpu_a channel 7 parameter registers 16, 32 2 0x30 4180 ? 0x30 418f s/u 3 ? tpu_a channel 8 parameter registers 16, 32 2 0x30 4190 ? 0x30 419f s/u 3 ? tpu_a channel 9 parameter registers 16, 32 2 0x30 41a0 ? 0x30 41af s/u 3 ? tpu_a channel 10 parameter registers 16, 32 2 0x30 41b0 ? 0x30 41bf s/u 3 ? tpu_a channel 11 parameter registers 16, 32 2 0x30 41c0 ? 0x30 41cf s/u 3 ? tpu_a channel 11 parameter registers 16, 32 2 0x30 41d0 ? 0x30 41df s/u 3 ? tpu_a channel 11 parameter registers 16, 32 2 0x30 41e0 ? 0x30 41ef s/u 3 ? tpu_a channel 14 parameter registers 16, 32 2 0x30 41f0 ? 0x30 41ff s/u 3 ? tpu_a channel 15 parameter registers 16, 32 2 tpu_b 0x30 4400 1 s 1 tpumcr_b tpu3_b module configuration register 16 only s, m 0x30 4402 t tcr_b tpu3_b test configuration register 16 s, m 0x30 4404 s dscr_b tpu3_b development support control regis- ter 16 2 s, m 0x30 4406 s dssr_b tpu3_b development support status regis- ter 16 2 s, m 0x30 4408 s ticr_b tpu3_b interrupt configuration register 16 2 s, m 0x30 440a s cier_b tpu3_b channel interrupt enable register 16 2 s, m table a-7 tpu3 (time pr ocessor unit) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-12 0x30 440c s cfsr0_b tpu3_b channel function selection register 0 16 2 s, m 0x30 440e s cfsr1_b tpu3_b channel function selection register 1 16 2 s, m 0x30 4410 s cfsr2_b tpu3_b channel function selection register 2 16 2 s, m 0x30 4412 s cfsr3_b tpu_b channel function selection register 3 16 2 s, m 0x30 4414 s/u 3 hsqr0_b tpu_b host sequence register 0 16 2 s, m 0x30 4416 s/u 3 hsqr1_b tpu_b host sequence register 1 16 2 s, m 0x30 4418 s/u 3 hsrr0_b tpu_b host service request register 0 16 2 s, m 0x30 441a s/u 3 hsrr1_b tpu_b host service request register 1 16 2 s, m 0x30 441c s cpr0_b tpu_b channel priority register 0 16 2 s, m 0x30 441e s cpr1_b tpu_b channel priority register 1 16 2 s, m 0x30 4420 s cisr_b tpu_b channel interrupt status register 16 s, m 0x30 4422 t lr_b tpu_b link register 16 2 s, m 0x30 4424 t sglr_b tpu_b service grant latch register 16 2 s, m 0x30 4426 t dcnr_b tpu_b decoded channel number register 16 2 s, m 0x30 4428 s 4 tpumcr2_b tpu_b module configuration register 2 16 2 s, m 0x30 442a s tpumcr3_b tpu_b module configuration register 3 16, 32 2 s, m 0x30 442c t isdr_b tpu_b internal scan data register 16, 32 2 0x30 442e t iscr_b tpu_b internal scan control register 16, 32 2 0x30 4500 ? 0x30 450e s/u 3 ? tpu_b channel 0 parameter registers 16, 32 2 0x30 4510 ? 0x30 451e s/u 3 ? tpu_b channel 1 parameter registers 16, 32 2 0x30 4520 ? 0x30 452e s/u 3 ? tpu_b channel 2 parameter registers 16, 32 2 0x30 4530 ? 0x30 453e s/u 3 ? tpu_b channel 3 parameter registers 16, 32 2 0x30 4540 ? 0x30 454e s/u 3 ? tpu_b channel 4 parameter registers 16, 32 2 0x30 4550 ? 0x30 455e s/u 3 ? tpu_b channel 5 parameter registers 16, 32 2 0x30 4560 ? 0x30 456e s/u 3 ? tpu_b channel 6 parameter registers 16, 32 2 0x30 4570 ? 0x30 457e s/u 3 ? tpu_b channel 7 parameter registers 16, 32 2 0x30 4580 ? 0x30 458e s/u 3 ? tpu_b channel 8 parameter registers 16, 32 2 0x30 4590 ? 0x30 459e s/u 3 ? tpu_b channel 9 parameter registers 16, 32 2 0x30 45a0 ? 0x30 45ae s/u 3 ? tpu_b channel 10 parameter registers 16, 32 2 table a-7 tpu3 (time pr ocessor unit) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-13 0x30 45b0 ? 0x30 45bf s/u 3 ? tpu_b channel 11 parameter registers 16, 32 2 0x30 45c0 ? 0x30 45cf s/u 3 ? tpu_b channel 11 parameter registers 16, 32 2 0x30 45d0 ? 0x30 45df s/u 3 ? tpu_b channel 11 parameter registers 16, 32 2 0x30 45e0 ? 0x30 45ef s/u 3 ? tpu_b channel 14 parameter registers 16, 32 2 0x30 45f0 ? 0x30 45ff s/u 3 ? tpu_b channel 15 parameter registers 16 2 notes: 1. bit 10 (tpu3) and bit 11 (t2csl) are write-once. bits 1:2 (tcr1p) and bits 3:4 (tcr2p) are write-once if pwod is not set in the tpumcr3 register. this register cannot be accessed with a 32-bit read. it can only be accessed with an 8- or 16-bit read. 2. some tpu registers can only be read or written with 16- or 32-bit accesses. 8-bit accesses are not allowed. 3. s/u = supervisor accessible only if supv = 1 or unrestricted if supv = 0. unrestricted registers allow both user and supervisor access. the supv bit is in the tpumcr register. 4. bits 9:10 (etbank), 14 (t2cf), and 15 (dtpu) are write-once. table a-8 qadc64 (queued an alog-to-digital converter) address access symbol register size reset qadc_a (note: bit descriptions apply to qadc_b as well) 0x30 4800 s qadc64mcr_a qadc64 module configuration register. see table 13-7 for bit descriptions. 16 s 0x30 4802 t qadc64test_ a qadc64 test register 16 ? 0x30 4804 s qadc64int_a interrupt register. see table 13-8 for bit descriptions. 16 s 0x30 4806 s/u portqa_a/ portqb_a port a and port b data. see table 13-9 for bit descriptions. 16 u 0x30 4808 s/u ddrqa_a/ ddrqb_a port a data and port b direction register. see table 13-10 for bit descriptions. 16 s 0x30 480a s/u qacr0_a qadc64 control register 0. see table 13-11 for bit descriptions. 16 s 0x30 480c s/u 1 qacr1_a qadc64 control register 1. see table 13-12 for bit descriptions. 16 s 0x30 480e s/u 1 qacr2_a qadc64 control register 2. see table 13-14 for bit descriptions. 16 s 0x30 4810 s/u qasr0_a qadc64 status register 0. see table 13-16 for bit descriptions. 16 s 0x30 4812 s/u qasr1_a qadc64 status register 1. see table 13-18 for bit descriptions. 16 s 0x30 4814 ? 0x30 49fe ??reserved ?? 0x30 4a00 ? 0x30 4a7e s/u ccw_a conversion command word table. see table 13-19 for bit descriptions. 16 u table a-7 tpu3 (time pr ocessor unit) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-14 0x30 4a80 ? 0x30 4afe s/u rjurr_a result word table right-justified, unsigned result register. see 13.12.12 for bit descriptions. 16 x 0x30 4b00 ? 0x30 4b7e s/u ljsrr_a result word table left-justified, signed result register. see 13.12.12 for bit descriptions. 16 x 0x30 4b80 ? 0x30 4bfe s/u ljurr_a result word table left-justified, unsigned result register. see 13.12.12 for bit descriptions. 16 x qadc_b 0x30 4c00 s qadc64mcr_b qadc64 module configuration register 16 s 0x30 4c02 t qadc64test_ b qadc64 test register 16 ? 0x30 4c04 s qadc64int_b interrupt register 16 s 0x30 4c06 s/u portqa_b/ portqb_b port a and port b data 16 u 0x30 4c08 s/u ddrqa_b/ ddrqb_b port a data and port b direction register 16 s 0x30 4c0a s/u qacr0_b qadc64 control register 0 16 s 0x30 4c0c s/u 1 qacr1_b qadc64 control register 1 16 s 0x30 4c0e s/u 1 qacr2_b qadc64 control register 2 16 s 0x30 4c10 s/u qasr0_b qadc64 status register 0 16 s 0x30 4c12 s/u qasr1_b qadc64 status register 1 16 s 0x30 4c14 ? 0x30 4dfe ??reserved ?? 0x30 4e00 ? 0x30 4e7e s/u ccw_b conversion command word table 16 u 0x30 4e80 ? 0x30 4efe s/u rjurr_b result word table. right-justified, unsigned result register. 16 x 0x30 4f00 ? 0x30 4f7e s/u ljsrr_b result word table. left-justified, signed result register. 16 x 0x30 4f80 ? 0x30 4ffe s/u ljurr_b result word table. left-justified, unsigned result register. 16 x notes: 1. bit 3 (ssex) is readable in test mode only. table a-8 qadc64 (queued analog-to -digital converter) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-15 table a-9 qsmcm (queued se rial multi-channel module) address access symbol register size reset 0x30 5000 s qsmcmmcr qsmcm module configuration register. see table 14-4 for bit descriptions. 16 s 0x30 5002 t qtest qsmcm test register 16 s 0x30 5004 s qdsci_il dual sci interrupt level. see table 14-5 for bit descriptions. 16 s 0x30 5006 s qspi_il queued spi interrupt level. see table 14-6 for bit descriptions. 16 s 0x30 5008 s/u scc1r0 sci1control register 0. see table 14-23 for bit descriptions. 16 s 0x30 500a s/u scc1r1 sci1control register 1. see table 14-24 for bit descriptions. 16 s 0x30 500c s/u sc1sr sci1 status register. see table 14-25 for bit descriptions. 16 s 0x30 500e s/u sc1dr sci1 data register. see table 14-26 for bit descriptions. 16 s 0x30 5010 ? 0x30 5012 ??reserved ?? 0x30 5014 s/u portqs qsmcm port qs data register. see 14.6.1 port qs data register (portqs) for bit descriptions. 16 s 0x30 5016 s/u pqspar/ ddrqst qsmcm port qs pin assignment register/ qsmcm port qs data direction register. see table 14-11 for bit descriptions. 16 s 0x30 5018 s/u spcr0 qspi control register 0. see table 14-13 for bit descriptions. 16 s 0x30 501a s/u spcr1 qspi control register 1. see table 14-15 for bit descriptions. 16 s 0x30 501c s/u spcr2 qspi control register 2. see table 14-16 for bit descriptions. 16 s 0x30 501e s/u spcr3 qspi control register 3. see table 14-17 for bit descriptions. 8s 0x30 501f s/u spsr qspi status register 3. see table 14-18 for bit descriptions. 8s 0x30 5020 s/u scc2r0 sci2 control register 0 16 s 0x30 5022 s/u scc2r1 sci2 control register 1 16 s 0x30 5024 s/u sc2sr sci2 status register 16 s 0x30 5026 s/u sc2dr sci2 data register 16 s 0x30 5028 s/u 1 qsci1cr qsci1 control register. see table 14-30 for bit descriptions. 16 s 0x30 502a s/u 2 qsci1sr qsci1 status register. see table 14-31 for bit descriptions. 16 s 0x30 502c ? 0x30 504a s/u sctq transmit queue locations 16 s 0x30 504c ? 0x30 506a s/u scrq receive queue locations 16 s 0x30 506c ? 0x30 5013f ??reserved ?? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-16 0x30 5140 ? 0x30 517f s/u recram receive data ram 16 s 0x30 5180 ? 0x30 51bf s/u tran.ram transmit data ram 16 s 0x30 51c0 ? 0x30 51df s/u comd.ram command ram 16 s notes: 1. bits 0?3 writeable only in test mode, otherwise read only. 2. bits 3?11 writeable only in test mode, otherwise read only. table a-10 mios1 (modular input/output subsystem) address access symbol register size reset mpwmsm0 (mios pulse width modulation submodule 0) 0x30 6000 s/u mpwmsmperr mpwmsm0 period register. see table 15-20 for bit descriptions. 16 x 0x30 6002 s/u mpwmsmpulr mpwmsm0 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 6004 s/u mpwmsmcntr mpwmsm0 count register. see table 15-22 for bit descriptions. 16 x 0x30 6006 s/u mpwmsmscr mpwmsm0 status/control register. see table 15-23 for bit descriptions. 16 s mpwmsm1 (mios pulse width modulation submodule 1) 0x30 6008 s/u mpwmsmperr mpwmsm1 period register. see table 15-20 for bit descriptions. 16 x 0x30 600a s/u mpwmsmpulr mpwmsm1 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 600c s/u mpwmsmcntr mpwmsm1 count register. see table 15-22 for bit descriptions. 16 x 0x30 600e s/u mpwmsmscr mpwmsm1 status/control register. see table 15-23 for bit descriptions. 16 s mpwmsm2 (mios pulse width modulation submodule 2) 0x30 6010 s/u mpwmsmperr mpwmsm2 period register. see table 15-20 for bit descriptions. 16 x 0x30 6012 s/u mpwmsmpulr mpwmsm2 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 6014 s/u mpwmsmcntr mpwmsm2 count register. see table 15-22 for bit descriptions. 16 x 0x30 6016 s/u mpwmsmscr mpwmsm2 status/control register. see table 15-23 for bit descriptions. 16 s mpwmsm3 (mios pulse width modulation submodule 3) 0x30 6018 s/u mpwmsmperr mpwmsm3 period register. see table 15-20 for bit descriptions. 16 x 0x30 601a s/u mpwmsmpulr mpwmsm3 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 601c s/u mpwmsmcntr mpwmsm3 count register. see table 15-22 for bit descriptions. 16 x table a-9 qsmcm (queued serial multi-channel module) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-17 0x30 601e s/u mpwmsmscr mpwmsm3 status/control register. see table 15-23 for bit descriptions. 16 s mmcsm6 (mios modulus counter submodule 6) 0x30 6030 s/u mmcsmcnt mmcsm6 up-counter register. see table 15-12 for bit descriptions. 16 x 0x30 6032 s/u mmcsmml mmcsm6 modulus latch register. see table 15-13 for bit descriptions. 16 x 0x30 6034 s/u mmcsmscrd mmcsm6 status/control register duplicated. see 15.10.1.3 mmcsm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 6036 s/u mmcsmscr mmcsm6 status/control register. see table 15-14 for bit descriptions. 16 s mdasm11 (mios double action submodule 11) 0x30 6058 s/u mdasmar mdasm11 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 605a s/u mdasmbr mdasm11 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 605c s/u mdasmscrd mdasm11 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 605e s/u mdasmscr mdasm11 status/control register. see table 15-17 for bit descriptions. 16 s mdasm12 (mios double action submodule 12) 0x30 6060 s/u mdasmar mdasm12 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 6062 s/u mdasmbr mdasm12 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 6064 s/u mdasmscrd mdasm12 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 6066 s/u mdasmscr mdasm12 status/control register. see table 15-17 for bit descriptions. 16 s mdasm13 (mios double action submodule 13) 0x30 6068 s/u mdasmar mdasm13 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 606a s/u mdasmbr mdasm13 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 606c s/u mdasmscrd mdasm13 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s table a-10 mios1 (modular input/ output subsystem) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-18 0x30 606e s/u mdasmscr mdasm13 status/control register. see table 15-17 for bit descriptions. 16 s mdasm14 (mios double action submodule 14) 0x30 6070 s/u mdasmar mdasm14 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 6072 s/u mdasmbr mdasm14 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 6074 s/u mdasmscrd mdasm14 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 6076 s/u mdasmscr mdasm14 status/control register. see table 15-17 for bit descriptions. 16 s mdasm15 (mios double action submodule 15) 0x30 6078 s/u mdasmar mdasm15 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 607a s/u mdasmbr mdasm15 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 607c s/u mdasmscrd mdasm15 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 607e s/u mdasmscr mdasm15 status/control register. see table 15-17 for bit descriptions. 16 s mpwmsm16 (mios pulse width modulation submodule 16) 0x30 6080 s/u mpwmsmperr mpwmsm16 period register. see table 15-20 for bit descriptions. 16 x 0x30 6082 s/u mpwmsmpulr mpwmsm16 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 6084 s/u mpwmsmcntr mpwmsm16 count register. see table 15-22 for bit descriptions. 16 x 0x30 6086 s/u mpwmsmscr mpwmsm16 status/control register. see table 15-23 for bit descriptions. 16 s mpwmsm17 (mios pulse width modulation submodule 17) 0x30 6088 s/u mpwmsmperr mpwmsm17 period register. see table 15-20 for bit descriptions. 16 x 0x30 608a s/u mpwmsmpulr mpwmsm17 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 608c s/u mpwmsmcntr mpwmsm17 count register. see table 15-22 for bit descriptions. 16 x 0x30 608e s/u mpwmsmscr mpwmsm17 status/control register. see table 15-23 for bit descriptions. 16 s table a-10 mios1 (modular input/ output subsystem) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-19 mpwmsm18 (mios pulse width modulation submodule 18) 0x30 6090 s/u mpwmsmperr mpwmsm18 period register. see table 15-20 for bit descriptions. 16 x 0x30 6092 s/u mpwmsmpulr mpwmsm18 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 6094 s/u mpwmsmcntr mpwmsm18 count register. see table 15-22 for bit descriptions. 16 x 0x30 6096 s/u mpwmsmscr mpwmsm18 status/control register. see table 15-23 for bit descriptions. 16 s mpwmsm19 (mios pulse width modulation submodule 19) 0x30 6098 s/u mpwmsmperr mpwmsm19 period register. see table 15-20 for bit descriptions. 16 x 0x30 609a s/u mpwmsmpulr mpwmsm19 pulse register. see table 15-21 for bit descriptions. 16 x 0x30 609c s/u mpwmsmcntr mpwmsm19 count register. see table 15-22 for bit descriptions. 16 x 0x30 609e s/u mpwmsmscr mpwmsm19 status/control register. see table 15-23 for bit descriptions. 16 s mmcsm22 (mios modulus counter submodule 22) 0x30 60b0 s/u mmcsmcnt mmcsm up-counter register. see table 15-12 for bit descriptions. 16 x 0x30 60b2 s/u mmcsmml mmcsm modulus latch register. see table 15-12 for bit descriptions. 16 x 0x30 60b4 s/u mmcsmscrd mmcsm status/control register duplicated. see 15.10.1.3 mmcsm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60b6 s/u mmcsmscr mmcsm status/control register. see table 15-14 for bit descriptions. 16 s mdasm27 (mios double action submodule 27) 0x30 60d8 s/u mdasmar mdasm27 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 60da s/u mdasmbr mdasm27 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 60dc s/u mdasmscrd mdasm27 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60de s/u mdasmscr mdasm27 status/control register. see table 15-17 for bit descriptions. 16 s mdasm28 (mios double action submodule 28) 0x30 60e0 s/u mdasmar mdasm28 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 60e2 s/u mdasmbr mdasm28 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x table a-10 mios1 (modular input/ output subsystem) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-20 0x30 60e4 s/u mdasmscrd mdasm28 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60e6 s/u mdasmscr mdasm28 status/control register. see table 15-17 for bit descriptions. 16 s mdasm29 (mios double action submodule 29) 0x30 60e8 s/u mdasmar mdasm29 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 60ea s/u mdasmbr mdasm29 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 60ec s/u mdasmscrd mdasm29 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60ee s/u mdasmscr mdasm29 status/control register. see table 15-17 for bit descriptions. 16 s mdasm30 (mios double action submodule 30) 0x30 60f0 s/u mdasmar mdasm30 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 60f2 s/u mdasmbr mdasm30 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 60f4 s/u mdasmscrd mdasm30 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60f6 s/u mdasmscr mdasm30 status/control register. see table 15-17 for bit descriptions. 16 s mdasm31 (mios double action submodule 31) 0x30 60f8 s/u mdasmar mdasm31 data a register. see 15.11.1.1 mdasm data a register for bit descriptions. 16 x 0x30 60fa s/u mdasmbr mdasm31 data b register. see 15.11.1.2 mdasm data b register (mdasmbr) for bit descriptions. 16 x 0x30 60fc s/u mdasmscrd mdasm31 status/control register duplicat- ed. see 15.11.1.3 mdasm status/control reg- ister (duplicated) for bit descriptions. 16 s 0x30 60fe s/u mdasmscr mdasm31 status/control register. see table 15-17 for bit descriptions. 16 s mpiosm (mios 16-bit parallel port i/o submodule) 0x30 6100 s/u mpiosmdr mpiosm data register. see table 15-26 for bit descriptions. 16 x 0x30 6102 s/u mpiosmddr mpiosm data direction register. see table 15-27 for bit descriptions. 16 s table a-10 mios1 (modular input/ output subsystem) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-21 0x30 6104 ? 0x30 6106 s/u ? reserved ? ? mbism (mios bus interface submodule) 0x30 6800 s 1 mios1tpcr mios1 test and pin control register. see table 15-3 for bit descriptions. 16 s 0x30 6802 s ? reserved ? ? 0x30 6804 s, read only mios1vnr mios1 module version number register. see table 15-4 for bit descriptions. 16 x 0x30 6806 s mios1mcr mios1 module control register. see table 15-4 for bit descriptions. 16 s 0x30 6808 ? 0x30 680e s?reserved ?? mcpsm (mios counter prescaler submodule) 0x30 6810 ? 0x30 6814 s?reserved ?s 0x30 6816 s mcpsmscr mcpsm status/control register. see table 15-10 for bit descriptions. 16 s mirsm0 (mios interrupt request submodule 0) 0x30 6c00 s mios1sr0 mirsm0 interrupt status register. see table 15-29 for bit descriptions. 16 x 0x30 6c02 s ? reserved ? ? 0x30 6c04 s mios1er0 mirsm0 interrupt enable register. see table 15-30 for bit descriptions. 16 s 0x30 6c06 s, read only mios1rpr0 mirsm0 request pending register. see table 15-31 for bit descriptions. 16 s mirsm (mios interrupt request submodule) 0x30 6c30 s mios1lvl0 mios1 interrupt level register 0. see table 15-7 for bit descriptions. 16 s mirsm1 (mios interrupt request submodule 1) 0x30 6c40 s mios1sr1 mirsm1 interrupt status register. see table 15-33 for bit descriptions. 16 x 0x30 6c42 s ? reserved ? ? 0x30 6c44 s mios1er1 mirsm1 interrupt enable register. see table 15-34 for bit descriptions. 16 s 0x30 6c46 s, read only mios1rpr1 mirsm1 request pending register. see table 15-35 for bit descriptions. 16 s mirsm (mios interrupt request submodule) 0x30 6c70 s mios1lvl1 mios1 interrupt level register 1. see table 15-8 for bit descriptions. 16 s notes: 1. bit 0 (test) is reserved for factory testing. table a-10 mios1 (modular input/ output subsystem) (continued) address access symbol register size reset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-22 table a-11 toucan (can 2.0b controller) address access symbol register size re- set toucan_a (note: bit descriptions apply to toucan_b as well) 0x30 7080 s tcnmcr_a toucan_a module configuration register. see table 16-11 for bit descriptions. 16 s 0x30 7082 t cantcr_a toucan_a test register 16 s 0x30 7084 s canicr_a toucan_a interrupt configuration register. see table 16-12 for bit descriptions. 16 s 0x30 7086 s/u canctrl0_a/ canctrl1_a toucan_a control register 0/ toucan_a control register 1. see table 16-13 and table 16-16 for bit descriptions. 16 s 0x30 7088 s/u presdiv_a/ ctrl2_a toucan_a control and prescaler divider register/ toucan_a control register 2. see table 16-17 and table 16-18 for bit descriptions. 16 s 0x30 708a s/u timer_a toucan_a free-running timer register. see table 16-19 for bit descriptions. s 0x30 708c ? 0x30 708e ??reserved ?? 0x30 7090 s/u rxgmskhi_a toucan_a receive global mask high. see table 16-20 for bit descriptions. 16 s 0x30 7092 s/u rxgmsklo_a toucan_a receive global mask low. see table 16-20 for bit descriptions. 16 s 0x30 7094 s/u rx14mskhi_a toucan_a receive buffer 14 mask high. see 16.7.10 receive buffer 14 mask regis- ters for bit descriptions. 16 s 0x30 7096 s/u rx14msklo_a toucan_a receive buffer 14 mask low. see 16.7.10 receive buffer 14 mask regis- ters for bit descriptions. 16 s 0x30 7098 s/u rx15mskhi_a toucan_a receive buffer 15 mask high. see 16.7.11 receive buffer 15 mask regis- ters for bit descriptions. 16 s 0x30 709a s/u rx15msklo_a toucan_a receive buffer 15 mask low. see 16.7.11 receive buffer 15 mask regis- ters for bit descriptions. 16 s 0x30 709c ? 0x30 709e ??reserved ?? 0x30 70a0 s/u estat_a toucan_a error and status register. see table 16-21 for bit descriptions. 16 s 0x30 70a2 s/u imask_a toucan_a interrupt masks. see table 16-24 for bit descriptions. 16 s 0x30 70a4 s/u iflag_a toucan_a interrupt flags. see table 16-25 for bit descriptions. 16 s 0x30 70a6 s/u rxectr_a/ txectr_a toucan_a receive error counter/ toucan_a transmit error counter. see table 16-26 for bit descriptions. 16 s f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-23 0x307100 ? 0x30710f s/u mbuff0_a toucan_a message buffer 0. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307110 ? 0x30711f s/u mbuff1_a toucan_a message buffer 1. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307120 ? 0x30712f s/u mbuff2_a toucan_a message buffer 2. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307130 ? 0x30713f s/u mbuff3_a toucan_a message buffer 3. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307140 ? 0x30714f s/u mbuff4_a toucan_a message buffer 4. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307150 ? 0x30715f s/u mbuff5_a toucan_a message buffer 5. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307160 ? 0x30716f s/u mbuff6_a toucan_a message buffer 6. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307170 ? 0x30717f s/u mbuff7_a toucan_a message buffer 7. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307180 ? 0x30718f s/u mbuff8_a toucan_a message buffer 8. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x307190 ? 0x30719f s/u mbuff9_a toucan_a message buffer 9. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071a0 ? 0x3071af s/u mbuff10_a toucan_a message buffer 10. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071b0 ? 0x3071bf s/u mbuff11_a toucan_a message buffer 11. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071c0 ? 0x3071cf s/u mbuff12_a toucan_a message buffer 12. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071d0 ? 0x3071df s/u mbuff13_a toucan_a message buffer 13. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071e0 ? 0x3071ef s/u mbuff14_a toucan_a message buffer 14. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u 0x3071f0 ? 0x3071ff s/u mbuff15_a toucan_a message buffer 15. see figure 16-3 and figure 16-4 for mes- sage buffer definitions. ?u table a-11 toucan (can 2.0b controller) (continued) address access symbol register size re- set f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-24 toucan_b 0x30 7480 s tcnmcr_b toucan_b module configuration register 16 s 0x30 7482 t cantcr_b toucan_b test register 16 s 0x30 7484 s canicr_b toucan_b interrupt configuration register 16 s 0x30 7486 s/u canctrl0_b/ canctrl1_b toucan_b control register 0/ toucan_b control register 1 16 s 0x30 7488 s/u presdiv_b/ ctrl2_b toucan_b control and prescaler divider register/ toucan_b control register 2 16 s 0x30 748a s/u timer_b toucan_b free-running timer register s 0x30 748c ? 0x30 748e ??reserved ?? 0x30 7490 s/u rxgmskhi_b toucan_b receive global mask high 16 s 0x30 7492 s/u rxgmsklo_b toucan_b receive global mask low 16 s 0x30 7494 s/u rx14mskhi_b toucan_b receive buffer 14 mask high 16 s 0x30 7496 s/u rx14msklo_b toucan_b receive buffer 14 mask low 16 s 0x30 7498 s/u rx15mskhi_b toucan_b receive buffer 15 mask high 16 s 0x30 749a s/u rx15msklo_b toucan_b receive buffer 15 mask low 16 s 0x30 749c ? 0x30 749e ??reserved ?? 0x30 74a0 s/u estat_b toucan_b error and status register 16 s 0x30 74a2 s/u imask_b toucan_b interrupt masks 16 s 0x30 74a4 s/u iflag_b toucan_b interrupt flags 16 s 0x30 74a6 s/u rxectr_b/ txectr_b toucan_b receive error counter/ toucan_b transmit error counter 16 s 0x307500 ? 0x30750f s/u mbuff0_b toucan_b message buffer 0. ? u 0x307510 ? 0x30751f s/u mbuff1_b toucan_b message buffer 1. ? u 0x307520 ? 0x30752f s/u mbuff2_b toucan_a message buffer 2. ? u 0x307530 ? 0x30753f s/u mbuff3_b toucan_b message buffer 3. ? u 0x307540 ? 0x30754f s/u mbuff4_b toucan_b message buffer 4. ? u 0x307550 ? 0x30755f s/u mbuff5_b toucan_b message buffer 5. ? u 0x307560 ? 0x30756f s/u mbuff6_b toucan_b message buffer 6. ? u 0x307570 ? 0x30757f s/u mbuff7_b toucan_b message buffer 7. ? u 0x307580 ? 0x30758f s/u mbuff8_b toucan_b message buffer 8. ? u 0x307590 ? 0x30759f s/u mbuff9_b toucan_b message buffer 9. ? u table a-11 toucan (can 2.0b controller) (continued) address access symbol register size re- set f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-25 0x3075a0 ? 0x3075af s/u mbuff10_b toucan_b message buffer 10. ? u 0x3075b0 ? 0x3075bf s/u mbuff11_b toucan_b message buffer 11. ? u 0x3075c0 ? 0x3075cf s/u mbuff12_b toucan_b message buffer 12. ? u 0x3075d0 ? 0x3075df s/u mbuff13_b toucan_b message buffer 13. ? u 0x3075e0 ? 0x3075ef s/u mbuff14_b toucan_b message buffer 14. ? u 0x3075f0 ? 0x3075ff s/u mbuff15_b toucan_b message buffer 15. ? u table a-12 uimb (u-bus to imb3 bus interface) address access symbol register size reset 0x30 7f80 s 1 notes: 1. bit 3 (hspeed) is write-once. umcr uimb module configuration register. see table 12-6 for bit descriptions. 32 h 0x30 7f90 s/t utstcreg test register ? reserved 32 ? 0x30 7fa0 s, read only uipend pending interrupt request register. see table 12-7 for bit descriptions. 32 h table a-13 sram (static ram access memory) address access symbol register size reset sram_a 0x38 0000 s 1 notes: 1. bit 0 (lck) locks the register (write-protected except in test mode) and is write once. srammcr_a sram_a module configuration register. see table 20-1 for bit descriptions. 16 s,h, por 0x38 0004 t sramtst_a sram_a test register. 16 s,h, por sram_b 0x38 0008 s 1 srammcr_b sram_b module configuration register. see table 20-1 for bit descriptions. 16 s,h, por 0x38 000c t sramtst_b sram_b test register. 16 s,h, por table a-11 toucan (can 2.0b controller) (continued) address access symbol register size re- set f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 MPC555 / mpc556 internal memory map motorola user?s manual rev. 15 october 2000 a-26 table a-14 sram (static ram access memory) array address access symbol register size reset 0x3f 8000 ? 0x3f 97ff ? reserved ? ? 0x3f 9800 ? 0x3f bfff u, s sram_a ram array (10 k bytes) 8, 16, 32 ? 0x3f c000 ? 0x3f ffff u, s sram_b ram array (16 k bytes) 8, 16, 32 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-1 appendix b register general index ?a? associated registers 10-4 ?b? bar (breakpoint address register) 21-46 bbc global region attribute register description (mi_gra) 4-23 module configuration register (bbcmcr) 4-24 region attribute registers mi_rax description (mi_rax) 4-21 region base address registers (mi_rbax) 4-21 bbcmcr (bbc module configuration register) 4-24 br0 (br3 - memory controller base registers 0 - 3) 10-28 breakpoint address register (bar) 21-46 breakpoint counter a value and control register (counta) 21-52 breakpoint counter b value and control register (countb) 21-53 ?c? canctrl0 (control register 0) 16-25 canctrl1 (control register 1) 16-26 canctrl2 (control register 2) 16-28 canicr (toucan interrupt configuration register) 16-24 canmcr (toucan module configuration register) 16-22 ccw (conversion command word table) 13-46 cfsr0 (tpu3 channel function select register 0) 17-16 cfsr1 (tpu3 channel function select register 1) 17-16 cfsr2 (tpu3 channel function select register 2) 17-16 cfsr3 (tpu3 channel function select register 3) 17-16 cier (tpu3 channel interrupt enable register) 17-15 cisr (tpu3 channel interrupt status register) 17-19 cmf eeprom configuration register (cmfmcr) 19-5 eeprom control registers 19-4 eeprom high voltage control register (cmfctl) 19-9 cmfcfig (hard reset configuration word) 19-17 cmfctl (cmf eeprom high voltage control register) 19-7, 19-9 cmfmcr (cmf eeprom configuration register) 19-5 cmpa-cmpd (comparator a-d value register) 21-45 cmpe-cmpf (comparator e-f value registers) 21-46 cmpg-cmph (comparator g-h value registers) 21-46 colir change of lock interrupt register 8-35 colir (change of lock register) 8-35 comparator a-d value registers (cmpa-cmpd) 21-45 comparator e-f value registers (cmpe-cmpf) 21-46 comparator g-h value registers (cmpg-cmph) 21-46 counta (breakpoint counter a value and control register) 21-52 countb (breakpoint counter b value and control register) 21-53 cpr0 (tpu3 channel priority register 0) 17-18 cpr1 (tpu3 channel priority register 1) 17-18 cr (condition register) 3-16 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-2 ctr (count register) 3-19 ?d? dar (data address register) 3-22 ddrqa (port qa data direction register) 13-35 ddrqs (portqs data direction register) 14-12 debug enable register (der) 21-55 dec (decrementer register) 3-24, 6-28 decrementer register (dec) 6-28 der (debug enable register) 21-55 development port data register (dpdr) 21-57 dmbr (dual mapping base register) 10-31 dmor (dual mapping option register) 10-32 dptmcr (dptram module configuration register) 18-4 dptram module configuration register (dptmcr) 18-3 ram base address register (rambar) 18-5 test register 18-4 dscr (tpu3 development support control register) 17-12 dsisr (dae/source instruction service register) 3-22 dssr (tpu3 development support status register) 17-14 dual mapping base register (dmbr) 10-31 dual mapping option register 10-32 ?e? ecr (exception cause register) 21-54 emcr (external master control register) 6-22 estat (error and status register) 16-30 exception cause register (ecr) 21-53 external master control register (emcr) 6-22 ?f? fprs - (floating-point registers) 3-12 fpscr (floating-point status and control register) 3-13 ?g? general-purpose i/o registers 6-34 gprs (general-purpose registers) 3-12 ?h? hsqr0 (tpu3 host sequence register 0) 17-17 hsqr1 (tpu3 host sequence register 1) 17-17 hssr0 (tpu3 host service request register 0) 17-17 hssr1 (tpu3 host service request register 1) 17-18 ?i? i-bus support control register (ictrl) 21-47 ictrl (i-bus support control register) 21-47 iflag (interrupt flag register) 16-33 imask (interrupt mask register) 16-32 immr (internal memory mapping register) 6-21 internal memory map register 6-21 ?k? keep alive power registers lock mechanism 8-23 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-3 ?l? l2u global region attribute register (l2u_gra) 11-15 module configuration register (l2u_mcr) 11-13 region attribute registers (l2u_rax) 11-15 region base address registers (l2u_rbax) 11-14 l2u_gra (l2u global region attribute register) 11-16 l2u_mcr (l2u module configuration register) 11-13 l2u_rax (l2u region x attribute register) 11-15 l2u_rbax (l2u region x base address register) 11-14 l-bus support control register 1 (lctrl1) 21-49 l-bus support control register 2 (lctrl2) 21-50 lctrl1 (l-bus support control register 1) 21-49 lctrl2 (l-bus support control register 2) 21-50 ljsrr (left justified, signed result register) 13-49 ljurr (left justified, unsigned result register) 13-50 lr (link register) 3-18 ?m? mbism interrupt registers 15-10 mcpsm status/control register (mcpsmcscr) 15-13 mcpsmscr (mcpsm status/control register) 15-13 mdasm data a register (mdasmar) 15-21 data b register (mdasmbr) 15-21 status/control register - duplicated (mdasmscrd) 15-22 status/control register (mdasmscr) 15-23 mdasmar (mdasm data a register) 15-21 mdasmbr (mdasm data b register) 15-22 mdasmscr (mdasm status/control register) 15-23 mdasmscrd (mdasm status/control register - duplicated) 15-22 memory controller base registers (br0 - br3) 10-28 memory controller option registers (or0 - or3) 10-30 memory controller status registers (mstat) 10-28 mi_gra (global region attribute register) 4-23 mios 16-bit parallel port i/o submodule (mpiosm) registers 15-30 bus interface (mbism) registers 15-8 counter prescaler submodule (mcpsm) registers 15-12 double action submodule (mdasm) registers 15-19 interrupt request submodule 0 (mirsm0) registers 15-33 interrupt request submodule 1 (mirsm1) registers 15-36 modulus counter submodule (mmcsm) registers 15-15 pulse width modulation submodule (mpwmsm) registers 15-26 mios1 interrupt level register 0 (mioslvl0) (mios1lvl0) 15-10 interrupt level register 1 (mioslvl1) (mios1lvl1) 15-11 module and version number register (mios1vnr) 15-9 module configuration register (mios1mcr) 15-9 test and pin control register 15-8 vector register 15-9 mios1er0 (mirsm0 interrupt enable register) 15-35 mios1er1 (interrupt enable register) 15-37 mios1lvl0 (mios1 interrupt level register 0) 15-11 mios1lvl1 (mios1 interrupt level 1 register) 15-11 mios1mcr (mios1 module configuration register) 15-9 mios1rpr0 (mirsm0 request pending register) 15-35 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-4 mios1rpr1 (mirsm1 request pending register) 15-38 mios1sr0 (mirsm0 interrupt status register) 15-34 mios1sr1 (mirsm1 interrupt status register) 15-36 mios1tpcr ((test and pin control register) 15-8 mios1vnr (mios1 module/version number register) 15-9 mirsm0 interrupt enable register (mios1er0) 15-35 interrupt status register (mios1sr0) 15-34 request pending register (mios1rpr0) 15-35 mirsm1 interrupt enable register (mios1er1) 15-37 interrupt status register (mios1sr1) 15-36 request pending register (mios1rpr1) 15-37 miscnt (misc counter) 18-6 misrh (multiple input signature register high) 18-5 misrl (multiple input signature register low) 18-6 mmcsm modulus latch register (mmcsmml) 15-16 status/control register - duplicated (mmcsmscrd) 15-16 status/control register (mmcsmscr) 15-17 up-counter register (mmcsmcnt) 15-16 mmcsmcnt (mmcsm up-counter register) 15-16 mmcsmml (mmcsm modulus latch register) 15-16 mmcsmscr (mmcsm status/control register) 15-17 mmcsmscrd (mmcsm status/control register - duplicated) 15-17 mpiosm data direction register (mpiosmddr) 15-31 data register (mpiosmdr) 15-30 mpiosmddr (mpiosm data direction register) 15-31 mpiosmdr (mpiosm data register) 15-31 mpwmsm counter register (mpwmsmcntr) 15-28 period register (mpwmsmperr) 15-27 pulse width register (mpwmsmpulr) 15-27 status/control register (mpwmsmcr) 15-28 mpwmsmcntr (mpwmsm counter register) 15-28 mpwmsmperr (mpwmsm period register) 15-27 mpwmsmpulr (mpwmsm pulse width register) 15-27 mpwmsmscr (mpwmsm status/control register) 15-28 msr (machine state register) 3-20 mstat (memory controller status register) 10-28 ?o? or0 (or3 - memory controller option registers 0 - 3) 10-30 ?p? pdmcr (pad module configuration register) 2-29 periodic interrupt status and control register (piscr) 6-32 periodic interrupt timer count register (pitc) 6-32 periodic interrupt timer register (pitr) 6-33 piscr (periodic interrupt status and control register) 6-32 pitc (periodic interrupt timer count) 6-32 pitr (periodic interrupt timer register) 6-33 pll, low power, and reset control register (plprcr) 8-33 plprcr (pll, low power, and reset control register) 8-33 portqa (port qa data register) 13-34 portqb (port qb data register) 13-34 portqs (port qs data register) 14-11 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-5 pqspar (portqs pin assignment register) 14-11 presdiv (prescaler divide register) 16-27 pvr (processor version register) 3-25 ?q? qacr0 (qadc64 control register 0) 13-35 qacr1 (qadc64 control register 1) 13-36 qacr2 (qadc64 control register 2) 13-39 qadc64 control register 0 (qacr0) 13-35 control register 1 (qadc64cr1) 13-36 control register 2 (qadc64cr2) 13-38 interrupt register (qadc64int) 13-33 module configuration register (qadc64mcr) 13-33 port a/b data register (portqa/b) 13-34 port data direction register (ddrqa) 13-35 status register 0 (qadc64sr0) 13-40 status register 1 (qadc64sr1) 13-42 successive approximation register (sar) 13-14 test register (qadc64test) 13-33 qadc64int (qadc64 interrupt register) 13-33 qadc64mcr (qadc64 module configuration register) 13-33 qasr0 (qadc64 status register 0) 13-41, 13-42 qdsci_il (qsm2 dual sci interrupt level register) 14-8 qsci1cr (qsci1 control register) 14-59 qsci1sr (qsci1 status register) 14-61 qsmcm configuration register (qmcr) 14-7 interrupt level registers (qdsci_il, qspi_il) 14-8 port qs data register (portqs) 14-10 portqs data direction register (ddrqs) 14-12 portqs pin assignment register (pqspar) 14-11 qsci1 control register (qsci1cr) 14-59 qsci1 status register (qsci1sr) 14-61 qspi command ram (crx) 14-22 qspi control register 0 (spcr0) 14-16 qspi control register 1 (spcr1) 14-18 qspi control register 2 (spcr2) 14-18 qspi control register 3 (spcr3) 14-19 qspi registers 14-15 qspi status register (spsr) 14-20 queued sci1 status and control registers 14-59 sci control register 0 (sccxr0) 14-45 sci control register 1 (sccxr1) 14-45 sci data register (scxdr) 14-49 sci registers 14-44 sci status register (scxsr) 14-47 test register (qtest) 14-8 qsmcmmcr (qsmcm module configuration register) 14-7 qspi_il (qspi interrupt level register) 14-9 ?r? rambar (ram array base address register) 18-5 rcpu additional implementation-specific registers 3-27 condition register (cr) 3-15 condition register cr0 field definition 3-16 condition register cr1 field definition 3-16 condition register crn field - compare instruction 3-17 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-6 count register (ctr) 3-19 dae/source instruction service register (dsisr) 3-22 data address register (dar) 3-22 decrementer register (dec) 3-23 eie, eid, and nri special-purpose registers 3-26 floating-point exception cause register (fpecr) 3-26 floating-point registers (fprs) 3-12 floating-point status and control register (fpscr) 3-12 general special-purpose registers (sprg0-sprg3) 3-25 general-purpose registers (gprs) 3-12 implementation-specific special-purpose registers 3-26 integer exception register (xer) 3-17 link register (lr) 3-18 machine state register (msr) 3-20 machine status save/restore register 0 (srr0) 3-24 machine status save/restore register 1 (srr1) 3-24 powerpc oea register set 3-20 powerpc uisa register set 3-11 powerpc vea register set - time base 3-19 processor version register (pvr) 3-25 real-time clock alarm register (rtcal) 6-31 real-time clock register (rtc) 6-31 real-time clock status and control register (rtcsc) 6-30 region attribute registers (0 - 3) 4-21 reset status register (rsr) 7-5 rjurr (right justified, unsigned result register) 13-49 rsr (reset status register) 7-5 rtc (real time clock alarm register) 6-31 rtc (real time clock register) 6-31 rtcsc (real time clock status and control register) 6-30 rxectr (receive error counter) 16-33 rxgmskhi (receive global mask register high) 16-29 ?s? sccr (system clock control register) 8-30 sccxr0 (qsmcm sci control register 0) 14-45 sccxr1 (qsmcm sci control register 1) 14-46 scdr (qsmcm sci data register) 14-49 scxsr (qsmcm scix status register) 14-47 sgpio control register (sgpiocr) 6-35 data register 1 (sgpiodt1) 6-34 data register 2 (sgpiodt2) 6-34 sgpiocr (sgpio control register) 6-35 sgpiodt1 (sgpio data register 1) 6-34 sgpiodt2 (sgpio data register 2) 6-34 siel (siu interrupt edge level register) 6-25 simask (siu interrupt mask register) 6-24 sipend register (sipend) 6-23 sipend (siu interrupt pending register) 6-24 siu interrupt edge level register (siel) 6-25 interrupt mask register (simask) 6-24 interrupt registers 6-23 interrupt vector register (sivec) 6-25 module configuration register (siumcr) 6-18 siumcr (siu module configuration register) 6-18 sivec (siu interrupt vector) 6-25 software service register (swsr) 6-26 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-7 spcr0 (qspi control register 0) 14-16 spcr1 (qspi control register 1) 14-18 spcr2 (qspi control register 2) 14-19 spcr3 (qspi control register) 14-19 sprg0-sprg3 (general special- purpose registers 0-3) 3-25 spsr (qspi status register) 14-20 sram module configuration register (srammcr) 20-2 test register (sramtst) 20-3 srammcr (sram module configuration register) 20-3 srr0 (machine status save/restore register 0) 3-24 srr1 (machine status save/restore register 1) 3-25 swsr (software service register) 6-27 sypcr (system protection control register) 6-26 system clock control register (sccr) 8-30 system configuration and protection registers 6-18 system configuration registers 6-18 system protection control register (sypcr) 6-26 system protection registers 6-26 system timer registers 6-28 ?t? tb (time base) 3-19, 3-23, 6-29 tbref0 (time base reference register 0) 6-29 tbref1 (time base reference register 1) 6-29 tbscr (time base control and status register) 6-30 tesr (transfer error status register) 6-27 ticr (tpu3 interrupt configuration register) 17-14 time base control and status register (tbscr) 6-29 time base reference registers (tbref0) 6-29 timer (free running timer register) 16-29 toucan control register 0 (canctrl0) 16-25 control register 1 (canctrl1) 16-26 control register 2 (canctrl2) 16-28 error and status register (estat) 16-30 interrupt configuration register (canicr) 16-24 interrupt flag register (iflag) 16-33 interrupt mask register (imask) 16-32 module configuration register (canmcr) 16-22 prescaler divide register (presdiv) 16-27 receive buffer 14 mask registers 16-30 receive buffer 15 mask registers 16-30 receive global mask registers (rxgmskhi) 16-29 receive mask registers 16-7 test configuration register 16-24 tpu3 channel function select registers (cfsrx) 17-15 channel interrupt enable register (cier) 17-15 channel interrupt status register (cisr) 17-19 channel priority registers (cprx) 17-18 decoded channel number register (dcnr) 17-19 development support control register (dscr) 17-12 development support status register (dssr) 17-14 host sequence registers (hsqrx) 17-16 host service request registers (hssrx) 17-17 interrupt configuration register (ticr) 17-14 link register (lr) 17-19 module configuration register (tpumcr) 17-10 module configuration register 2 (tpumcr2) 17-20 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register general index motorola user?s manual rev. 15 october 2000 b-8 module configuration register 3 (tpumcr3) 17-21 service grant latch register (sglr) 17-19 test configuration register (tcr) 17-12 test registers (isdr, iscr) 17-22 tpumcr (tpu3 module configuration register) 17-10 tpumcr2 (tpu3 module configuration register 2) 17-20 tpumcr3 (tpu3 module configuration register 3) 17-21 transfer error status register (tesr) 6-27 ?u? uimb module configuration register (umcr) 12-7 pending interrupt request register (uipend) 12-8 test control register (utstcreg) 12-8 uipend (uimb pending interrupt reqiuest register) 12-8 umcr (uimb module configuration register) 12-7 ?v? vddsrm sensor register (vsrmsr) 8-36 vsrmsr (vddsrm control register) 8-36 ?x? xer (integer exception register) 3-17 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register diagram index motorola user?s manual rev. 15 october 2000 c-1 appendix c register diagram index ?b? bar (breakpoint address register) 21-46 bbcmcr (bbc module configuration register) 4-24 br0 (br3 - memory controller base registers 0 - 3) 10-28 ?c? canctrl0 (control register 0) 16-25 canctrl1 (control register 1) 16-26 canctrl2 (control register 2) 16-28 canicr (toucan interrupt configuration register) 16-24 canmcr (toucan module configuration register) 16-22 ccw (conversion command word table) 13-46 cfsr0 (tpu3 channel function select register 0) 17-16 cfsr1 (tpu3 channel function select register 1) 17-16 cfsr2 (tpu3 channel function select register 2) 17-16 cfsr3 (tpu3 channel function select register 3) 17-16 cier (tpu3 channel interrupt enable register) 17-15 cisr (tpu3 channel interrupt status register) 17-19 cmfcfig (hard reset configuration word) 19-17 cmfctl (cmf eeprom high voltage control register) 19-7, 19-9 cmfmcr (cmf eeprom configuration register) 19-5 cmpa-cmpd (comparator a-d value register) 21-45 cmpe-cmpf (comparator e-f value registers) 21-46 cmpg-cmph (comparator g-h value registers) 21-46 colir (change of lock interrupt register) 8-35 counta (breakpoint counter a value and control register) 21-52 countb (breakpoint counter b value and control register) 21-53 cpr0 (tpu3 channel priority register 0) 17-18 cpr1 (tpu3 channel priority register 1) 17-18 cr (condition register) 3-16 ctr (count register) 3-19 ?d? dar (data address register) 3-22 ddrqa (port qa data direction register) 13-35 ddrqs (portqs data direction register) 14-12 dec (decrementer register) 3-24, 6-28 der (debug enable register) 21-55 dmbr (dual mapping base register) 10-31 dmor (dual mapping option register) 10-32 dptmcr (dptram module configuration register) 18-4 dscr (tpu3 development support control register) 17-12 dsisr (dae/source instruction service register) 3-22 dssr (tpu3 development support status register) 17-14 ?e? ecr (exception cause register) 21-54 emcr (external master control register) 6-22 estat (error and status register) 16-30 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register diagram index motorola user?s manual rev. 15 october 2000 c-2 ?f? fprs - (floating-point registers) 3-12 fpscr (floating-point status and control register) 3-13 ?g? gprs (general-purpose registers) 3-12 ?h? hsqr0 (tpu3 host sequence register 0) 17-17 hsqr1 (tpu3 host sequence register 1) 17-17 hssr0 (tpu3 host service request register 0) 17-17 hssr1 (tpu3 host service request register 1) 17-18 ?i? ictrl (i-bus support control register) 21-47 iflag (interrupt flag register) 16-33 imask (interrupt mask register) 16-32 immr (internal memory mapping register) 6-21 ?l? l2u_gra (l2u global region attribute register) 11-16 l2u_mcr (l2u module configuration register) 11-13 l2u_rax (l2u region x attribute register) 11-15 l2u_rbax (l2u region x base address register) 11-14 lctrl1 (l-bus support control register 1) 21-49 lctrl2 (l-bus support control register 2) 21-50 ljsrr (left justified, signed result register) 13-49 ljurr (left justified, unsigned result register) 13-50 lr (link register) 3-18 ?m? mcpsmscr (mcpsm status/control register) 15-13 mdasmar (mdasm data a register) 15-21 mdasmbr (mdasm data b register) 15-22 mdasmscr (mdasm status/control register) 15-23 mdasmscrd (mdasm status/control register - duplicated) 15-22 mi_gra (global region attribute register) 4-23 mios1er0 (mirsm0 interrupt enable register) 15-35 mios1er1 (interrupt enable register) 15-37 mios1lvl0 (mios1 interrupt level register 0) 15-11 mios1lvl1 (mios1 interrupt level 1 register) 15-11 mios1mcr (mios1 module configuration register) 15-9 mios1rpr0 (mirsm0 request pending register) 15-35 mios1rpr1 (mirsm1 request pending register) 15-38 mios1sr0 (mirsm0 interrupt status register) 15-34 mios1sr1 (mirsm1 interrupt status register) 15-36 mios1tpcr (test and pin control register) 15-8 mios1vnr (mios1 module/version number register) 15-9 miscnt (misc counter) 18-6 misrh (multiple input signature register high) 18-5 misrl (multiple input signature register low) 18-6 mmcsmcnt (mmcsm up-counter register) 15-16 mmcsmml (mmcsm modulus latch register) 15-16 mmcsmscr (mmcsm status/control register) 15-17 mmcsmscrd (mmcsm status/control register - duplicated) 15-17 mpiosmddr (mpiosm data direction register) 15-31 mpiosmdr (mpiosm data register) 15-31 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register diagram index motorola user?s manual rev. 15 october 2000 c-3 mpwmsmcntr (mpwmsm counter register) 15-28 mpwmsmperr (mpwmsm period register) 15-27 mpwmsmpulr (mpwmsm pulse width register) 15-27 mpwmsmscr (mpwmsm status/control register) 15-28 msr (machine state register) 3-20 mstat (memory controller status register) 10-28 ?o? or0 (or3 - memory controller option registers 0 - 3) 10-30 ?p? pdmcr (pad module configuration register) 2-29 piscr (periodic interrupt status and control register) 6-32 pitc (periodic interrupt timer count) 6-32 pitr (periodic interrupt timer register) 6-33 plprcr (pll, low power, and reset control register) 8-33 portqa (port qa data register) 13-34 portqb (port qb data register) 13-34 portqs (port qs data register) 14-11 pqspar (portqs pin assignment register) 14-11 presdiv (prescaler divide register) 16-27 pvr (processor version register) 3-25 ?q? qacr0 (qadc64 control register 0) 13-35 qacr1 (qadc64 control register 1) 13-36 qacr2 (qadc64 control register 2) 13-39 qadc64int (qadc64 interrupt register) 13-33 qadc64mcr (qadc64 module configuration register) 13-33 qasr0 (qadc64 status register 0) 13-41, 13-42 qdsci_il (qsm2 dual sci interrupt level register) 14-8 qsci1cr (qsci1 control register) 14-59 qsci1sr (qsci1 status register) 14-61 qsmcmmcr (qsmcm module configuration register) 14-7 qspi_il (qspi interrupt level register) 14-9 ?r? rambar (ram array base address register) 18-5 regionattribute registers (0 - 3) 4-21 rjurr (right justified, unsigned result register) 13-49 rsr (reset status register) 7-5 rtc (real time clock) 6-31 rtcal (real time clock alarm) 6-31 rtcsc (real time clock status and control register) 6-30 rxectr (receive error counter) 16-33 rxgmskhi (receive global mask register high) 16-29 ?s? sccr (system clock control register) 8-30 sccxr0 (qsmcm sci control register 0) 14-45 sccxr1 (qsmcm sci control register 1) 14-46 scdr (qsmcm sci data register) 14-49 scxsr (qsmcm scix status register) 14-47 sgpiocr (sgpio control register) 6-35 sgpiodt1 (sgpio data register 1) 6-34 sgpiodt2 (sgpio data register 2) 6-34 siel (siu interrupt edge level register) 6-25 simask (siu interrupt mask register) 6-24 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 register diagram index motorola user?s manual rev. 15 october 2000 c-4 sipend (siu interrupt pending register) 6-24 siumcr (siu module configuration register) 6-18 sivec (siu interrupt vector) 6-25 spcr0 (qspi control register 0) 14-16 spcr1 (qspi control register 1) 14-18 spcr2 (qspi control register 2) 14-19 spcr3 (qspi control register) 14-19 sprg0-sprg3 (general special- purpose registers 0-3) 3-25 spsr (qspi status register) 14-20 srammcr (sram module configuration register) 20-3 srr0 (machine status save/restore register 0) 3-24 srr1 (machine status save/restore register 1) 3-25 swsr (software service register) 6-27 sypcr (system protection control register) 6-26 ?t? tb (time base) 3-19, 3-23, 6-29 tbref0 (time base reference register 0) 6-29 tbref1 (time base reference register 1) 6-29 tbscr (time base control and status register) 6-30 tesr (transfer error status register) 6-27 ticr (tpu3 interrupt configuration register) 17-14 timer (free running timer register) 16-29 tpumcr (tpu3 module configuration register) 17-10 tpumcr2 (tpu3 module configuration register 2) 17-20 tpumcr3 (tpu3 module configuration register 3) 17-21 ?u? uipend (uimb pending interrupt reqiuest register) 12-8 umcr (uimb module configuration register) 12-7 ?v? vsrmsr (vddsrm control register) 8-36 ?x? xer (integer exception register) 3-17 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-1 appendix d tpu rom functions the following pages provide brief descriptions of the pre-programmed functions in the tpu3. for detailed descriptions, refer to the programming note for the individual func- tion. the motorola tpu literature pack, tpulitpak/d , provides a list of available programming notes. d.1 overview the tpu3 contains four kbytes of microcode rom. this appendix defines the func- tions that are in the standard rom on the MPC555 / mpc556. the tpu3 can have up to eight kbytes of memory and a maximum of four entry tables (see figure d-1 ). figure d-1 tpu3 memory map the tpu3 can address up to eight kbytes of memory at any one time. it has four kbytes of internal rom, located in bank 0 and bank 1, and six kbytes of dual-ported sram (dptram), located in bank 0, bank 1and bank 2. as only one type of memory can be used at a time, the tpu3 must either use the internal rom or the sram. func- tions from both memory types cannot be used in conjunction. bank 1 bank 0 0 1ff entry code ad d - entry code code 3 ff bank 2 ad d - entry code bank 3 ad d - entry (unimplemented) tpurom bank 1 bank 0 0 entry code ad d - entry code code bank 2 ad d - entry dptram* 7 ff 5 ff 3 ff 1ff *the dptram is located at 0x30 2000 until it is switched to emulation mode. in emulation mode, the dptram is accessible by the tpus only. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-2 a new feature of the tpu3 microcode rom is the existence of two entry tables in the four kbytes of internal rom. each entry table has a set of sixteen functions that define which of the two tables the tpu3 will be able to access. only one table can be used at a time and functions from the two entry tables cannot be mixed. the default entry table is located in bank 0. this table is identical to the standard microcode rom in the tpu2, so any cpu code written for the tpu2 will work unchanged on the tpu3. the tpu2 and tpu3 roms are different than the original tpu rom. the functions in the default entry table in bank 0 are listed in table d-1 . the functions in the entry table in bank one are listed in table d-2 . table d-1 bank 0 functions function number function nickname function name 0xf pta programmable time accumulator 0xe qom queued output match 0xd tsm table stepper motor 0xc fqm frequency measurement 0xb uart universal asynchronous receiver/transmitter 0xa nitc new input capture/input transition counter 9 comm multiphase motor commutation 8 halld hall effect decode 7 mcpwm multi-channel pulse width modulation 6 fqd fast quadrature decode 5 ppwa period/pulse width accumulator 4 oc output compare 3 pwm pulse width modulation 2 dio discrete input/output 1 spwm synchronized pulse width modulation 0 siop serial input/output port f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-3 the functions in the bank 1 entry table are identical to the bank 0 entry table functions with three exceptions. function 1, spwm, has been replaced by rwtpin. this is a function that allows reads and writes to the tpu time bases and corresponding pin. function 5, ppwa, is now an identification function in table d-2 . the microcode rom revision number is provided by this function. finally, function 7, mcpwm, has been removed and left open for future use. the cpu selects which entry table to use by setting the etbank field in the tpumcr2 register. this register is write on ce after reset. although one entry table is specified at start-up, it is possible, in some cases, to use functions from both tables without resetting the microcontroller. a customer may, for example, wish to use the id function from bank 1 to verify the tpu microcode version but then use the mcp- wm function from bank 0. as a customer will typically only run the id function during system configuration, and not again after th at, the bank 1 entry table can be changed to the bank 0 entry table using the soft reset feature of the tpu3. the procedure should be: 1. set etbank field in tpumcr2 to 0b01 to select the entry table in bank 1 2. run the id function 3. stop the tpu3 by setting the stop bit in the tpumcr to one. 4. reset the tpu3 by setting the softrst bit in the tpumcr2 register 5. wait at least nine clocks 6. clear the softrst bit in the tpumcr2 register the tpu3 stays in reset until the cpu clears the softrst bit. after the softrst bit has been cleared the tpu3 will be reset and the entry table in bank 0 will be se- lected by default. to select the bank 0 entry table, write 0b00 to the etbank field in table d-2 bank 1 functions function number function nickname function name 0xf pta programmable time accumulator 0xe qom queued output match 0xd tsm table stepper motor 0xc fqm frequency measurement 0xb uart universal asynchronous receiver/transmitter 0xa nitc new input capture/input transition counter 9 comm multiphase motor commutation 8 halld hall effect decode 7 reserved 6 fqd fast quadrature decode 5 id identification 4 oc output compare 3 pwm pulse width modulation 2 dio discrete input/output 1 rwtpin read/write timers and pin 0 siop serial input/output port f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-4 tpumcr 2. it is good practice always to initialize any write once register to ensure an incorrect value is not accidentally written. the descriptions below document the functions listed in table d-1 (bank 0) and table d-2 (bank 1) of the tpu3 rom module. d.2 programmable time accumulator (pta) pta accumulates a 32-bit sum of the total high time, low time, or period of an input signal over a programmable number of periods or pulses. the period accumulation can start on a rising or falling edge. after the specified number of periods or pulses, the pta generates an interrupt request. from one to 255 period measurements can be accumulated before the tpu interrupts the cpu, providing instantaneous or average frequency measurement capability. see motorola tpu progamming note programmable time ac cumulator tpu function (pta), (tpupn06/d) . figure d-2 shows all of the host interface areas for the pta function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-5 control bits name options addresses 3210 channel function select pta function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence 00 ? high time accumulate 0x30yy14 ? 0x30yy16 01 ? low time accumulate 10 ? period accumulate, rising 11 ? period accumulate, falling 10 host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? not used 11 ? initialize 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 channel_control 0x30yyw2 max_count period_count 0x30yyw4 last_time 0x30yyw6 accum 0x30yyw8 hw 0x30yywa lw 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-2 pta parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-6 d.3 queued output match tpu function (qom) qom can generate single or multiple output match events from a table of offsets in pa- rameter ram. loop modes allow complex pulse trains to be generated once, a spec- ified number of times, or continuously. the function can be triggered by a link from another tpu channel. in addition, the reference time for the sequence of matches can be obtained from another channel. qom can generate pulse-width modulated wave- forms, including waveforms with high times of 0% or 100%. qom also allows a tpu channel to be used as a discrete output pin. see motorola tpu progamming note queued output matc h tpu function (qom), (tpupn01/d) . figure d-3 shows all of the host interface areas for the qom function. the bit encod- ings shown in table d-3 describe the corresponding fields in parameter ram. table d-3 qom bit encoding a timebase selection 0 use tcr1 as timebase 1 use tcr2 as timebase edge selection 0 falling edge at match 1 rising edge at match b:c reference for first match 00 immediate tcr value 01 last event time 10 value pointed to by ref_addr 11 last event time f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-7 control bits name options addresses 3210 channel function select com function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence 00 ? single-shot mode 0x30yy14 ? 0x30yy16 01 ? loop mode 10 ? continuous mode 11 ? continuous mode 10 host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize, no pin change 10 ? initialize, pin low 11 ? initialize, pin high 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 ref_addr b last_off_addr a 0x30yyw2 loop_cnt off_ptr c (last_match_tm) 0x30yyw4 offset_1 ; 0x30yyw6 offset_2 ; 0x30yyw8 offset_3 ; 0x30yywa offset_4 ; 0x30yywc offset_5* ; 0x30yywe offset_6* ; 0x30yy(w=1)0 offset_7* ; 0x30yy(w=1)2 offset_8* ; : : : 0x30yy(w=1)14 offset_14* ; *not available on all channels. = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-3 qom parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-8 d.4 table stepper motor (tsm) the tsm function provides for acceleration and deceleration control of a stepper mo- tor with a programmable number of step rates up to 58. tsm uses a table in parameter ram, rather than an algorithm, to define the stepper motor acceleration profile, allow- ing full defininition of the profile. in addition , a slew rate parameter allows fine control of the terminal running speed of the motor independent of the acceleration table. the cpu need only write a desired position, and the tpu accelerates, slews, and deceler- ates the motor to the required position. full and half step support is provided for two- phase motors. in addition, a slew rate parame ter allows fine control of the terminal run- ning speed of the motor independent of the acceleration table. see motorola tpu pro- gamming note table stepper motor tpu function (tsm), (tpupn04/d) . figure d-4 and figure d-5 show all of the host interface areas for the tsm function when operating in master and slave mode, respectively. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-9 control bits name options addresses 3210 channel function select tsm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence x0 ? rotate pin_sequence once 0x30yy14 ? 0x30yy16 between steps x1 ? split mode acceleration table 0x ? rotate pin_sequence once between steps 1x ? rotate pin_sequence twice between steps 10 host service reques 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize, pin low 10 ? initialize, pin high 11 ? move request (master only) 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 desired_position 0x30yyw2 current_position 0x30yyw4 table_size table_index 0x30yyw6 slew_period s 0x30yyw8 start_period a 0x30yywa pin_sequence 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-4 tsm parame ters ? master mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-10 control bits name options addresses 3210 channel function select tsm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence bits x0 ? rotate pin_sequence once 0x30yy14 ? 0x30yy16 between steps x1 ? split mode acceleration table 0x ? rotate pin_sequence once between steps 1x ? rotate pin_sequence twice between steps 10 host service bits 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize, pin low 10 ? initialize, pin high 11 ? move request (master only) 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yy(w+1)0 accel_ratio_2 accel_ratio_1 0x30yy(w+1)2 accel_ratio_4 accel_ratio_3 0x30yy(w+1)4 accel_ratio_6 accel_ratio_5 0x30yy(w+1)6 accel_ratio_8 accel_ratio_7 0x30yy(w+1)8 accel_ratio_10 accel_ratio_9 0x30yy(w+1)a accel_ratio_12 accel_ratio_11 0x30yy(w+1)c* accel_ratio_14* accel_ratio_13* : : : 0x30yy(w+3)a* accel_ratio_36* accel_ratio_35* *optional additional parameters not available in all cases. refer to motorola programming note tpupn04/d for details = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-5 tsm parameters ? slave mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-11 d.5 frequency measurement (fqm) fqm counts the number of input pulses to a tpu channel during a user-defined win- dow period. the function has single shot and continuous modes. no pulses are lost between sample windows in continuous mode. the user selects whether to detect pulses on the rising or falling edge. this function is intended for high speed measure- ment; measurement of slow pulses with noise rejection can be made with pta.see motorola tpu progamming note frequency measuremen t tpu function (fqm), (tpupn03/d) . figure d-6 shows all of the host interface areas for the fqm function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-12 control bits 0 name options addresses c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence bits 00 ? begin with falling edge, single-shot 0x30yy14 ? 0x30yy16 mode 01 ? begin with falling edge, continuous mode 10 ? begin with rising edge, single-shot mode 11 ? begin with rising edge, continuous mode 10 c host service bits 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? initialize 11 ?not used 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 0x30yyw2 0x30yyw4 chanel_control 0x30yyw6 window_size 0x30yyw8 pulse_count 0x30yywa in_window_accumulator 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = primary channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-6 fqm parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-13 d.6 universal asynchronous receiver/transmitter (uart) the uart function uses one or two tpu channels to provide asynchronous commu- nications. data word length is programmable from one to 14 bits. the function sup- ports detection or generation of even, odd, and no parity. baud rate is freely programmable and can be higher than 100 kbaud. eight bi-directional uart channels running in excess of 9600 baud could be implemented on the tpu. see motorola tpu progamming note asynchronous serial interface tpu function (uart), (tpupn07/d) . figure d-7 and figure d-8 show all of the host interface areas for the uart function in transmitting and receiving modes, respectively. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-14 control bits name options addresses 3210 channel function select uart function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence bits 00 ? no parity 0x30yy14 ? 0x30yy16 01 ? no parity 10 ? even parity 11 ? odd parity 10 host service bits 00 ? not used 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? transmit 11 ? receive 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 parity_temp 0x30yyw2 match_rate 0x30yyw4 tdre transmit_data_reg 0x30yyw6 data_size 0x30yyw8 actual_bit_count 0x30yywa shift_register 0x30yywc 0x30yywa = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-7 uart tran smitter parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-15 control bits name options addresses 3210 channel function select uart function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence bits 00 ? no parity 0x30yy14 ? 0x30yy16 01 ? no parity 10 ? even parity 11 ? odd parity 10 host service bits 00 ? not used 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? transmit 11 ? receive 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 parity_temp 0x30yyw2 match_rate 0x30yyw4 pe re transmit_data_reg 0x30yyw6 data_size 0x30yyw8 actual_bit_count 0x30yywa shift_register 0x30yywc 0x30yywa = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-8 uart receiver parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-16 d.7 new input capture/transition counter (nitc) any channel of the tpu can capture the value of a specified tcr or any specified lo- cation in parameter ram upon the occurrence of each transition or specified number of transitions, and then generate an interrupt request to notify the bus master. the times of the most recent two transitions are maintained in parameter ram. a channel can perform input captures continually, or a channel can detect a single transition or specified number of transitions, ceasing channel activity until reinitialization. after each transition or specified number of transitions, the channel can generate a link to other channels. see motorola tpu progamming note new input capture/input transition counter tpu function (nitc), (tpupn08/d) . figure d-9 shows all of the host interface areas for the nitc function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-17 control bits name options addresses 3210 channel function select nitc function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence 00 ? single-shot mode, no links 0x30yy14 ? 0x30yy16 01 ? continuous mode, no links 10 ? single-shot mode, links 11 ? continuous mode, links 10 host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize tcr mode 10 ? initialize parameter mode 11 ? not used 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 channel_control 0x30yyw2 start_link_ channel link_chan nel_count param_addr 0 0x30yyw4 max_count 0x30yyw6 trans_count 0x30yyw8 final_trans_time 0x30yywa last_trans_time 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-9 nitc parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-18 d.8 multiphase motor commutation (comm) the comm function generates the phase commutation signals for a variety of brush- less motors, including three-phase brushless direct current. it derives the commutation state directly from the position decoded in fqd, thus eliminating the need for hall ef- fect sensors. the state sequence is implemented as a user-configurable state machine, thus pro- viding a flexible approach with other general applications. a cpu offset parameter is provided to allow all the switching angles to be advanced or retarded on the fly by the cpu. this feature is useful for torque maintenance at high speeds. see motorola tpu progamming note multiphase motor commutation tpu function (comm), (tpupn09/d) . figure d-10 and figure d-11 show all of the host interface areas for the comm func- tion. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-19 control bits name options addresses 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence 00 ? sensorless match update mode 0x30yy14 ? 0x30yy16 01 ? sensorless match update mode 10 ? sensorless link update mode 11 ? sensorled mode 10 c host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? initialize or force state 11 ? initialize or force immediate state test 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 start_link_ channel counter_addr 0x30yyw2 no_of_states state_no 0x30yyw4 offset 0x30yyw6 update_perio 0x30yyw8 upper 0x30yywa lower 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-10 comm parameters (part 1 of 2) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-20 d.9 hall effect decode (halld) the halld function decodes the sensor signals from a brushless motor, along with a direction input from the cpu, into a state number. the function supports two- or three- sensor decoding. the decoded state number is written into a comm channel, which outputs the required commutation drive signals. in addition to brushless motor appli- cations, the function can have more general applications, such as decoding ?option? switches. see motorola tpu progamming note hall effect dec ode tpu function (halld), (tpupn10/d) . figure d-12 shows all of the host interface areas for the halld function. control bits 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yy(w + 1)0 length state 0 pin_config 0x30yy(w + 1)2 length state 1 pin_config 0x30yy(w + 1)4 length state 2 pin_config 0x30yy(w + 1)6 length state 3 pin_config 0x30yy(w + 1)8 length state 4 pin_config 0x30yy(w + 1)a length state 5 pin_config 0x30yy(w + 1)c length state 6* pin_config 0x30yy(w + 1)e length state 7* pin_config 0x30yy(w + 2)0 length state 8* pin_config 0x30yy(w + 2)2 length state 9* pin_config       0x30yy(w + 3)a length state 21* pin_config *not available on all channels = written by cpu = written by cpu and tpu w = master channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-11 comm parameters (part 2 of 2) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-21 control bits name options addresses 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence 00 ? channel a 0x30yy14 ? 0x30yy16 01 ? channel b 10 ? channel b 11 ? channel c (3-channel mode only) 10 c host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? initialize, 2-channel mode 11 ? initialize, 3-channel mode 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt status x ? not used 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 0x30yyw2 0x30yyw4 0x30yyw6 direction (1) 0x30yyw8 state_no_addr (2) 0x30yywa pinstate 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters notes: 1. channel a only. 2. one channel only (channel b in 2-channel mode, channel c in 3-channel mode. figure d-12 halld parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-22 d.10 multichannel pulse- width modulation (mcpwm) mcpwm generates pulse-width modulated outputs with full 0% to 100% duty cycle range independent of other tpu activity. this capability requires two tpu channels plus an external gate for one pwm channel. (a simple one-channel pwm capability is supported by the qom function.) multiple pwms generated by mcpwm have two types of high time alignment: edge aligned and center aligned. edge-aligned mode uses n + 1 tpu channels for n pwms; center-aligned mode uses 2n + 1 channels. center-aligned mode allows a user-de- fined ?dead time? to be specified so that two pwms can be used to drive an h-bridge without destructive current spikes. this feature is important for motor control applica- tions. see motorola tpu progamming note multichannel pwm tpu function (mcpwm), (tpupn05/d) . figure d-13 through figure d-18 show the host interface areas for the mcpwm func- tion in each mode. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-23 control bits name options addresses 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence 00 ? edge-aligned mode 0x30yy14 ? 0x30yy16 01 ? slave a type center-aligned mode 10 ? slave b type center-aligned mode 11 ? slave c type center-aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize as slave (inverted) 10 ? initialize, as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 period 0x30yyw2 irq_rate period_count 0x30yyw4 last_rise_time 0x30yyw6 last_fall_time 0x30yyw8 rise_time_ptr 0x30yywa fall_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-13 mcpwm parameters ? master mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-24 control bits name options addresses 3210 c channel function select mcpwm function number 0x30yy0a (assigned during microcode assembly) 1 0 c host sequence 00 ? edge-aligned mode 0x30yy0c ? 0x30yy12 01 ? slave a type center aligned mode 10 ? slave b type center aligned mode 11 ? slave c type center aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy14 ? 0x30yy16 01 ? initialize as slave (inverted) 10 ? initialize as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy18 ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy1c ? 0x30yy1e 1 ? channel interrupts enabled 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 period 0x30yyw2 high_time 0x30yyw4 0x30yyw6 high_time_ptr 0x30yyw8 rise_time_ptr 0x30yywa fall_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-14 mcpwm parameters ? slav e edge-aligned mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-25 control bits name options addresses 3210 c channel function select mcpwm function number 0x30yy0a (assigned during microcode assembly) 1 0 c host sequence 00 ? edge-aligned mode 0x30yy0c ? 0x30yy12 01 ? slave a type center aligned mode 10 ? slave b type center aligned mode 11 ? slave c type center aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy14 ? 0x30yy16 01 ? initialize as slave (inverted) 10 ? initialize as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy18 ? 0x30yy1a 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy1c ? 0x30yy1e 1 ? channel interrupts enabled 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 period 0x30yyw2 nxt_b_rise_time 0x30yyw4 nxt_b_fall_time 0x30yyw6 dead_time high_time_ptr 0x30yyw8 rise_time_ptr 0x30yywa fall_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-15 mcpwm parameters ? slave ch a non-inverted center-aligned mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-26 control bits name options addresses 3210 c channel function select mcpwm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c host sequence 00 ? edge-aligned mode 0x30yy14 ? 0x30yy16 01 ? slave a type center aligned mode 10 ? slave b type center aligned mode 11 ? slave c type center aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize as slave (inverted) 10 ? initialize as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 high_time 0x30yyw2 current_high_time 0x30yyw4 temp_storage 0x30yyw6 0x30yyw8 b_fall_time_ptr 0x30yywa b_rise_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-16 mcpwm parameters ? slave ch b non-inverted center-aligned mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-27 control bits name options addresses 3210 c channel function select mcpwm function number 0x30yy0a (assigned during microcode assembly) 1 0 c host sequence 00 ? edge-aligned mode 0x30yy0c ? 0x30yy12 01 ? slave a type center aligned mode 10 ? slave b type center aligned mode 11 ? slave c type center aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy14 ? 0x30yy16 01 ? initialize as slave (inverted) 10 ? initialize as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy18 ? 0x30yy1a 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy1c ? 0x30yy1e 1 ? channel interrupts enabled 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 period 0x30yyw2 nxt_b_rise_time 0x30yyw4 nxt_b_fall_time 0x30yyw6 dead_time high_time_ptr 0x30yyw8 rise_time_ptr 0x30yywa fall_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-17 mcpwm parameters ? slave ch a inverted center-aligned mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-28 control bits name options addresses 3210 c channel function select mcpwm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c host sequence 00 ? edge-aligned mode 0x30yy14 ? 0x30yy16 01 ? slave a type center aligned mode 10 ? slave b type center aligned mode 11 ? slave c type center aligned mode 10 c host service request 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? initialize as slave (inverted) 10 ? initialize as slave (normal) 11 ? initialize as master 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 c channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 high_time 0x30yyw2 current_high_time 0x30yyw4 temp_storage 0x30yyw6 0x30yyw8 b_fall_time_ptr 0x30yywa b_rise_time_ptr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-18 mcpwm parameters ? slave ch b non-inverted center-aligned mode f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-29 d.11 fast quadrature decode tpu function (fqd) fqd is a position feedback function for motor control. it decodes the two signals from a slotted encoder to provide the cpu with a 16-bit free-running position counter. fqd incorporates a ?speed switch? which disables one of the channels at high speed, al- lowing faster signals to be decoded. a time stamp is provided on every counter update to allow position interpolation and better velocity determination at low speed or when low resolution encoders are used. the third index channel provided by some encoders is handled by the itc function. see motorola tpu progamming note fast quadrature decode tpu function (fqd), (tpupn02/d) . figure d-19 and figure d-20 show the host interface areas for the fqd function for primary and secondary channels, respectively. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-30 control bits name options addresses 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence bits 00 ? primary channel (normal mode) 0x30yy14 ? 0x30yy16 01 ? secondary channel (normal mode) 10 ? primary channel (fast mode) 11 ? secondary channel (fast mode) 10 c host service bits 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? read tcr1 11 ? initialize 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable x ? not used 0x30yy0a 0 c channel interrupt status xx ? not used 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 edge_time 0x30yyw2 position_count 0x30yyw4 tcr1_value 0x30yyw6 chan_pinstate 0x30yyw8 corr_pinstate_addr 0x30yywa edge_time_lsb_addr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-19 fqd parame ters ? primary channel f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-31 control bits name options addresses 3210 c channel function select xxxx ? fqd function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 10 c host sequence bits 00 ? primary channel (normal mode) 0x30yy14 ? 0x30yy16 01 ? secondary channel (normal mode) 10 ? primary channel (fast mode) 11 ? secondary channel (fast mode) 10 c host service bits 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? read tcr1 11 ? initialize 10 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 c channel interrupt enable x ? not used 0x30yy0a 0 c channel interrupt status xx ? not used 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 0x30yyw2 0x30yyw4 tcr1_value 0x30yyw6 chan_pinstate 0x30yyw8 corr_pinstate_addr 0x30yywa edge_time_lsb_addr 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-20 fqd paramete rs ? secondary channel f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-32 d.12 period/pulse-width accumulator (ppwa) the period/pulse-width accumulator (ppwa) algorithm accumulates a 16-bit or 24-bit sum of either the period or the pulse width of an input signal over a programmable number of periods or pulses (from one to 255). after an accumulation period, the algo- rithm can generate a link to a sequential block of up to eight channels. the user spec- ifies a starting channel of the block and number of channels within the block. generation of links depends on the mode of operation. any channel can be used to measure an accumulated number of periods of an input signal. a maximum of 24 bits can be used for the accumulation parameter. from one to 255 period measurements can be made and summed with the previous measure- ment(s) before the tpu interrupts the cpu, allowing instantaneous or average fre- quency measurement, and the latest complete accumulation (over the programmed number of periods). the pulse width (high-time portion) of an input signal can be measured (up to 24 bits) and added to a previous measurement over a programmable number of periods (one to 255). this provides an instantaneous or average pulse-width measurement capa- bility, allowing the latest complete accumulation (over the specified number of periods) to always be available in a parameter. by using the output compare function in conjunction with ppwa, an output signal can be generated that is proportional to a specified input signal. the ratio of the input and output frequency is programmable. one or more output signals with different frequen- cies, yet proportional and synchronized to a single input signal, can be generated on separate channels. see motorola tpu progamming note period/pulse-width accu- mulator tpu function (ppwa), (tpupn11/d) . figure d-21 shows the host interface areas and parameter ram for the ppwa function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-33 control bits name options addresses 3210 c channel function select ppwa function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? channel disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits 00 ? accumulate 24-bit periods, no links 0x30yy14 ? 0x30yy16 01 ? accumulate 16-bit periods, links 10 ? accumulate 24-bit pulse widths, no links 11 ? accumulate 16-bit pulse widths, links 10 c host service bits 00 ? not used 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? initialize 11 ? not used 0 c interrupt enable 0 ? channel interrupt not asserted 0x30yy0a 1 ? channel interrupt asserted 0 c interrupt status 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 start_link_ channel link_channel _count channel_control 0x30yyw2 max_count period_count 0x30yyw4 last_accum 0x30yyw6 accum 0x30yyw8 accum_rate ppwa_ub 0x30yywa ppwa_lw 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters notes: 1. the tpu does not check the value of link_channel_count. if this parameter is not >0 and 8, results are unpredictible. 2. max_count may be written at any time by the host cpu, but if the value written is period_count, a period or pulse-width accumulation is terminated. if this happens, the number of periods over which the accumulation is done will not correspond to max_count. figure d-21 ppwa parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-34 d.13 output compare (oc) the output compare (oc) function generates a rising edge, falling edge, or a toggle of the previous edge in one of three ways: 1. immediately upon cpu initiation, thereby generating a pulse with a length equal to a programmable delay time 2. at a programmable delay time from a user-specified time 3. continuously. upon receiving a link from a channel, oc references, without cpu interaction, a specifiable period and calculates an offset: where ratio is a parameter supplied. this algorithm generates a 50% duty-cycle continuous square wave with each high/ low time equal to the calculated offset. due to offset calculation, there is an initial link time before continuous pulse generation begins. see motorola tpu progamming note output compare tpu function (oc), (tpupn12/d) . figure d-22 shows the host interface areas and parameter ram for the oc function. offset period ratio = f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-35 control bits name options addresses 3210 c channel function select oc function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? channel disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits 0x ? matches and pulses scheduled 0x30yy14 ? 0x30yy16 x1 ? only read tcr1, tcr2 10 c host service bits 00 ? no host service request 0x30yy18 ? 0x30yy1a 01 ? host-initiated pulse 10 ? not used 11 ? initialize, continuous pulses 0 c interrupt enable 0 ? interrupt not asserted 0x30yy0a 1 ? interrupt asserted 0 c interrupt status 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 channel_control 0x30yyw2 offset 0x30yyw4 ratio ref_addr1 0 0x30yyw6 ref_addr2 0 ref_addr3 0 0x30yyw8 ref_time 0x30yywa actual_match_time 0x30yywc tcr1 0x30yywe tcr2 c = written by cpu c = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b c = written by tpu c = unused parameters figure d-22 oc parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-36 d.14 pulse-width modulation (pwm) the tpu can generate a pulse-width modulation (pwm) waveform with any duty cycle from zero to 100% (within the resolu tion and latency capability of the tpu). to define the pwm, the cpu provides one parameter that indicates the period and another parameter that indicates the high time. updates to one or both of these pa- rameters can direct the waveform change to take effect immediately, or coherently beginning at the next low-to-high transition of the pin. see motorola tpu progam- ming note pulse-width modulati on tpu function (pwm), (tpupn17/d) . figure d-23 shows the host interface areas and parameter ram for the pwm function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-37 control bits name options addresses 3210 c channel function select pwm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits xx ? not used 0x30yy14 ? 0x30yy16 10 c host service bits 00 ? not used 0x30yy18 ? 0x30yy1a 01 ? immediate update of pwm 10 ? initialize 11 ? not used 0 c interrupt enable 0 ? interrupt not asserted 0x30yy0a 1 ? interrupt asserted 0 c interrupt status 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 channel_control 0x30yyw2 oldris 0x30yyw4 pwmhi (1, 3) 0x30yyw6 pwmper (2, 3) 0x30yyw8 pwmris 0x30yywa 0x30yywc 0x30yywe c = written by cpu c = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b. c = written by tpu c = unused parameters figure d-23 pwm parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-38 d.15 discrete i nput/output (dio) the dio function allows a tpu channel to be used as a digital i/o pin. when a pin is used as a discrete input, a parameter indicates the current input level and the previous 15 levels of a pin. bit 15, the most significant bit of the parameter, indicates the most recent state. bit 14 indica tes the next most recent state, and so on. the programmer can choose one of the three following conditions to update the pa- rameter: 1. when a transition occurs 2. when the cpu makes a request, or 3. when a rate specified in another parameter is matched when a pin is used as a discrete output, it is set high or low only upon request by the cpu. see motorola tpu progamming note discrete input/o utput tpu function (dio), (tpupn18/d) . figure d-24 shows the host interface areas for the dio function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-39 control bits name options addresses 3210 c channel function select dio function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits 00 ? update on transition 0x30yy14 ? 0x30yy16 01 ? update at match rate 10 ? update on hsr 11 11 ? not used 10 c host service bits 00 ? not used 0x30yy18 ? 0x30yy1a 01 ? drive pin high 10 ? drive pin low 11 ? initialize 0 c interrupt enable 0 ? interrupt not asserted 0x30yy0a 1 ? interrupt asserted 0 c interrupt status 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 channel_control 0x30yyw2 pin_level 0x30yyw4 match_rate 0x30yyw6 0x30yyw8 0x30yywa 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-24 dio parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-40 d.16 synchronized pulse-width modulation (spwm) the spwm function generates a pulse-width modulated waveform (pwm). the cpu can change the period or high time of the waveform at any time. three different oper- ating modes allow the function to maintain complex timing relationships between channels without cpu intervention. the spwm output waveform duty cycle excludes 0% and 100%. if a pwm does not need to maintain a time relationship to another pwm, the pwm function should be used instead. see motorola tpu progamming note synchronized pulse-width modulation tpu function (spwm), (tpupn19/d) . figure d-25 and figure d-26 show all of the host interface areas for the spwm func- tion. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-41 control bits name options addresses 3210 c channel function select spwm function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits 00 ? mode 0 0x30yy14 ? 0x30yy16 01 ? mode 1 10 ? mode 2 11 ? not used 10 c host service bits 00 ? no host service request 0x30yy18 ? 0x30yy1a 01 ? not used 10 ? initialize 11 ? immediate update (mode 1) 0 c interrupt enable 0 ? interrupt not asserted 0x30yy0a 1 ? interrupt asserted 0 c interrupt status 0x30yy20 parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 lastrise channel_control 0x30yyw2 nextrise 0x30yyw4 high_time 0x30yyw6 period 0x30yyw8 ref_addr1 0x30yywa delay 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-25 spwm parameters, part 1 of 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-42 parameter ram (mode 1) 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 lastrise channel_control 0x30yyw2 nextrise 0x30yyw4 high_time 0x30yyw6 delay 0x30yyw8 ref_addr1 ref_addr2 0x30yywa ref_value 0x30yywc 0x30yywe parameter ram (mode 2) 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 lastrise channel_control 0x30yyw2 nextrise 0x30yyw4 high_time 0x30yyw6 period 0x30yyw8 start_link_ channel link_channel _count ref_addr1 0x30yywa delay 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-26 spwm parameters, part 2 of 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-43 d.17 read / write timers and pin tpu function (rwtpin) the rwtpin tpu function enables the cpu to read both the tcr1 and tcr2 timer counters via locations in pram and select ively load tcr1 or tcr2 with a cpu sup- plied value contained in pram. the function also allows control of the pin state and direction of the rwtpin channel. a pin state parameter is maintained in pram and is updated upon every service request. it can contain a value of the current pinstate whether the pin is programmed as an input or output. the function also receives links, and upon receipt will read the two tcrs into pram, updating the pinstate parameter. a maskable interrupt request to the cpu is generated. the cpu can control the channel pin, the channel pin and the tcrs, or just the tcrs. to control the pin only, the ?read tcr? option is used and the values returned ignored. controlling the tcrs without effect on the pi n allows this function to be run on a tpu channel whose pin is being controlled by a different function running on another chan- nel (e.g., a slave stepper motor channel). see motorola tpu progamming note using the tpu function library a nd tpu emulation mode, (tpupn00/d) . figure d-27 shows all of the host interface areas for the pta function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-44 control bits name options addresses 3210 channel function select read/write timers and pin function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence xx ? not used 0x30yy14 ? 0x30yy16 10 host service request 00 ? no action 0x30yy18 ? 0x30yy1a 01 ? read tcrs and read/write pin 10 ? write tcr1, read tcrs and read/write pin 11 ? write tcr2, read tcrs and read/write pin 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 0x30yyw2 0x30yyw4 channel_control 0x30yyw6 channel_pin_state 0x30yyw8 tcr1_value 0x30yywa tcr2_value 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a 44 for tpu_b and 5c for tpu_c = written by tpu = unused parameters figure d-27 rwtpin parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-45 d.18 id tpu function (id) this is a simple function that returns the version of the tpu rom on the current device. figure d-28 shows all of the host interface areas for the id function. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-46 control bits name options addresses 3210 channel function select identification function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 host sequence xx ? not used 0x30yy14 ? 0x30yy16 10 host service request 00 ? no action 0x30yy18 ? 0x30yy1a 01 ? read read tpu rom version 10 ? not used 11 ? not used 10 channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 0 channel interrupt enable 0 ? channel interrupts disabled 0x30yy0a 1 ? channel interrupts enabled 0 channel interrupt status 0 ? channel interrupt not asserted 0x30yy20 1 ? channel interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 tpu3_id rom_revision 0x30yyw2 0x30yyw4 0x30yyw6 0x30yyw8 0x30yywa 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a 44 for tpu_b and 5c for tpu_c = written by tpu = unused parameters figure d-28 id parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-47 d.19 serial input/output port (siop) the serial input/output port (siop) tpu function uses two or three tpu channels to form a uni- or bi-directional synchronous serial port that can be used to communicate with a wide variety of devices. features such as baud rate and transfer size are user programmable. the function can also produce a clock-only, when it uses just one channel. the siop tpu function has been designed to closely resemble the siop hardware port found on some motorola mcus and can be used to add serial capabilities to a de- vice without a serial port, or extend the capabilities of one with a hardware synchro- nous port. siop operates in master mode (i.e., the tpu always generates the clock) and the fol- lowing features are programmable: 1. choice of clock-only (one channel), clo ck + transmit (two channels), clock + re- ceive (two channels) or clock + transmit + receive (three channels) operating modes 2. baud rate period is freely programmable over a 15-bit range of tcr1 counts 3. selection of msb or lsb first shift direction 4. variable transfer size from one to 16 bits 5. clock polarity is programmable when a transfer of data is complete the siop function notifies the host cpu by issuing an interrupt request. the arrangement of the multiple siop channels is fixed: the data out channel is the channel above the clock channel and the data in channel is the channel below the clock channel. in clock-only or uni-directional mode, the unused tpu channels are free to run other tpu functions. two possible siop configurations are show in figure d-29 . figure d-29 two possib le siop configurations da t a o ut-chan x+1 clo c k o ut-chan x d ata in-chan x-1 76543210 76543210 d ata out-chan x+1 clo c k o u t -chan x 7 6 5 4 3 2 1 089 8-bit bi-directional transfer, msb first with data valid on clock rising edge 10-bit output only transfer, lsb first with data valid on clock falling edge f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-48 d.19.1 parameters figure d-30 shows the host interface areas and parameter ram for the siop func- tion. the following sections describe these parameters. note that only the clock chan- nel requires any programming ? the data in and out channels are entirely under tpu microcode control. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-49 control bits name options addresses 3210 c channel function select siop function number 0x30yy0c ? 0x30yy12 (assigned during microcode assembly) 1 0 c channel priority 00 ? disabled 0x30yy1c ? 0x30yy1e 01 ? low priority 10 ? medium priority 11 ? high priority 10 c host sequence bits 00 ? clock channel active only, 0x30yy14 ? 0x30yy16 no data transfer 01 ? d out channels active, no data receive 10 ? clock and d in channels active, no data transmit 11 ? full bidirectional tansmit and receive 1 0 c host service bits 00 ? no host service (reset condition) 0x30yy18 ? 0x30yy1a 01 ? no action 10 ? no action 11 ? initialize clock channel and start transfer 0 c interrupt enable 0 ? interrupt not asserted 0x30yy0a 1 ? interrupt asserted 0 c interrupt status 0 ? interrupt not asserted 0x30yy20 1 ? interrupt asserted parameter ram 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0x30yyw0 s channel_control 0x30yyw2 half-period 0x30yyw4 bit_count 0x30yyw6 xfer_size 0x30yyw8 data 0x30yywa 0x30yywc 0x30yywe = written by cpu = written by cpu and tpu w = channel number yy = 41 for tpu_a and 44 for tpu_b = written by tpu = unused parameters figure d-30 siop parameters f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-50 d.19.1.1 chan_control this 9-bit cpu written parameter is used to setup the clock polarity for the siop data transfer. the valid values for chan_control for this function are given in the table below. chan_control must be written by the host prior to issuing the host service request (hsr) to initialize the function. d.19.1.2 bit_d bit_d is a cpu written bit that determines th e direction of shift of the siop data. if bit_d is zero then siop_data is right shifted (lsb first). if bit_d is one then siop_data is left shifted (msb first). d.19.1.3 half_period this cpu-written parameter defines the baud rate of the siop function. the value contained in half_period is the number of tcr1 counts for a half siop clock pe- riod (e.g., for a 50 khz baud rate, with a tcr1 period of 240 ns, the value [(1/50 khz)/ 2]/240 ns = 42 should be written to half_period. the range for half_period is 1 to 0x8000, alth ough the minimum value in practice will be limited by other system conditions. see notes on use and performance of siop function. d.19.1.4 bit_count this parameter is used by the tpu to count down the number bits remaining while a transfer is in progress. during the siop initialization state, bit_count is loaded with the value contained in xfer_size. it is then decremented as the data is transferred and when it reaches zero, the transfer is complete and the tpu issues an interrupt re- quest to the cpu. d.19.1.5 xfer_size this cpu-written parameter determines the number of bits that make up a data trans- fer. during initialization, xfer_size is copied into bit_count. xfer_size is shown as a 5-bit parameter to match the maximum size of 16 bits in siop_data, al- though the tpu uses the whole word location. for normal use, xfer_size should be in the range 1-to-16. d.19.1.6 siop_data this parameter is the data register for all s iop transfers. data is shifted out of one end of siop_data and shifted in at the other end, the shift direction being determined table d-4 siop function valid chan_control options chan_control 1 8 7 6 5 4 3 2 1 0 notes: 1. other values of chan_control may result in indeterminate operation. resulting action 0 1 0 0 0 1 1 0 1 data valid on clock falling edge. 0 1 0 0 0 1 1 1 0 data valid on clock rising edge. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-51 by the value of bit_d. in output only mode, zero will be shifted into siop_data and in input only mode, the data shifted out is ignored. in clock-only mode siop_data is still shifted. note that no ?justifying? of siop_data is performed by the tpu, (e.g., if an 8-bit bi-directional transfer is made, shifting lsb first, then the bottom byte of siop_data will be shifted out and the input da ta will be shifted into the upper byte of siop_data). note siop_data is not buffered. the cpu should only access it between completion of one transfer and the start of the next. d.19.2 host cpu initialization of the siop function the cpu initializes the siop function by: 1. disabling the channel by clearing the two channel priority bits 2. selecting the siop function on the channel by writing the assigned siop func- tion number to the function select bits 3. writing chan_control in the clock channel parameter ram 4. writing half_period, bit_d and xfer_size in the clock channel parame- ter ram to determine the speed, shift direction and size of the transfer 5. writing siop_data if the data output is to be used 6. selecting the required operating mode via the two host sequence bits 7. issuing a host service request type 0b11 8. enabling service by assigning h, m or l priority to the clock channel via the two channel priority bits the tpu then starts the data transfer, and issues an interrupt request when the trans- fer is complete. once the function has been initialized, the cpu only needs to write siop_data with the new data and issue a hsr 0b11 to initiate a new transfer. in input or clock-only modes, just the hsr 0b11 is required. d.19.3 siop func tion performance like all tpu functions, the performance limit of the siop function in a given application is dependent to some extent on the service time (latency) associated with other active tpu channels. this is due to the operational nature of the scheduler. where two channels are being used for a uni-directiona l system, and no other tpu channels are active, the maximum baud rate is approximately 230 khz at a bus speed of 16.77 mhz. a three-channel bi-directional syst em under the same conditions has a maxi- mum baud rate of approximately 200 khz. when more tpu channels are active, these performance figures will be degraded, however, the scheduler assures that the worst case latency in any tpu application can be closely approximated. it is recom- mended that the guidelines given in the tpu reference manual be used along with the information given in the siop state timing table to perform an analysis on any pro- posed tpu application that appears to approach the performance limits of the tpu. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-52 d.19.3.1 xfer_size greater than 16 xfer_size is normally programmed to be in the range 1-to-16 to match the size of siop_data, and has thus been shown as a 5-bit value in the host interface diagram. however, the tpu actually uses all 16 bits of the xfer_size parameter when loading bit_count. in some unusual circumstances this can be used. if an input device is producing a data stream of greater than 16 bits then manipulation of xfer_size will allow selective capturing of the data. in clock-only mode, the extended xfer_size can be used to generate up to 0xffff clocks. d.19.3.2 data positioning as stated above, no ?justifying? of the data position in siop_data is performed by the tpu. this means that in the case of a by te transfer, the data output will be sourced from one byte and the data input will shift into the other byte. this rule holds for all data size options except 16 bits when the full siop_data register is used for both data output and input. d.19.3.3 data timing in the example given in figure d-31 , the data output transitions are shown as being completely synchronous with the relevant clock edge and it is assumed that the data input is latched exactly on the opposite clock edge. this is the simplest way to show the examples, but is not strictly true. since the tpu is a multi-tasking system, and the data channels are manipulated directly by microcode software while servicing the clock edge, there is a finite delay between the relevant clock edge and the data-out being valid or the data-in being latched. this delay is equivalent to the latency in ser- vicing the clock channel due to other tpu activity and is shown as ?td? in the timing diagram. td is the delay between the clock edge and the next output data being valid and also the delay between the opposite clock edge and the input data being read. for the vast majority of applications, the delay td will not present a problem and can be ignored. only for a system which heavily loads the tpu should calculations be made for the worst case latency for the siop cloc k channel + actual siop service time ( = td) and ensure that the baud rate is chosen such that half_period - td is not less table d-5 siop state timing 1 notes: 1. execution times do not include the time slot transition time (tst = 10 or 14 cpu clocks). state number and name max. cpu clock cycles number of ram accesses by tpu s1 siop_init hsq = x0 x1 28 38 7 7 s2 data_out hsq = x0 x1 14 24 4 4 s3 data_in hsq = 0x 1x 14 28 4 6 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-53 that the minimum setup time of the receiving device. a transmitting device must also hold data valid for a minimum time of td after the clock. figure d-31 siop function data transition example data out cha n x+1 clo c k cha n x data in cha n x-1 td td f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 tpu rom functions motorola user?s manual rev. 15 october 2000 d-54 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-1 appendix e clock and board guidelines e.1 introduction the MPC555 / mpc556 built-in pll, oscillator, and other analog and sensitive circuits, require that the board design follow special layout guidelines to ensure proper opera- tion of the chip clocks. this appendix de scribes how the clock supplies and external components should be connected in the board. these guidelines must be fulfilled to reduce switching noise which is generated on internal and external buses during op- eration. any noise injected into the sensitive clock and pll logic reduces clock perfor- mance. the usiu maintains a pll loss-of-lock warning indication that can be used to determine the clock stability in the MPC555 / mpc556. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-2 e.2 MPC555 / mpc556 family power distribution figure e-1 MPC555 / mpc556 family power distribution diagram ? 3 v board vddl (external 3 v) vss (external gnd - vddi (internal 3 v) vss (internal gnd) vddsyn xfc vsssyn extal xtal kapwr vddsram keyed vcc 3.3 v kap 3 v cx(pf) cy(pf) >10 ? 100 nf cxfc 100 nf 100 nf 100 nf <50 ? 1 f 1 nf 1 nf 1 f 100 nf r1 3 note 3: all 100 nf capacitors should be placed close to the pin. MPC555 / mpc556 (1 for each pad, 8 total) (1 for each pad 4 total) shorted to vss) (main supply) note 1: the main power supply may optionally supply operating current to reduce the keep alive current requirements. see the circuit in 8.12.1 system clock control register (sccr) . note 2: resistor r 1 is currently not required. space should be left on the board to add it in the future if necessary. vddf note 4: c l is a function of specific crystal, c l = c x + c y. q1 100 nf f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-3 figure e-2 MPC555 / mpc556 family power distri bution diagram ? 5 v and analog board vddh (digital 5v) vdda vssa vrh vrl an* (analog input) keyed vcc 5 v 100 nf 1uf 1nf ~10k ? <50 ? <50 ? to from sensors 100 nf ~10 nf r2 sensors note: the size of resistor r2 depends on the sensor load current. it should be sized to match the voltage at vrh. MPC555 / mpc556 100 nf analog ground plane f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-4 e.3 pll and crystal oscillator external components e.3.1 crystal oscillator external components figure e-3 crystal oscillator circuit load capacitances specified in the table include all stray capacitance. tolerance of the capacitors are 10%. the oscillator capacitors were calculated as follows: table e-1 external components va lue for different crystals (q1) component ndk cp32c 20 mhz kinseki cx-11f 20 mhz murata ccstc 4 mhz units c l 1 notes: 1. c l according to crystal specification, c l = c x + c y . 614 ?pf r 1 3 1meg 3 1meg 3 1meg 3 ohm c x 616 ? 2 2. the murata ceramic resonator includes the load capacitors. (8pf should be selected) 3. resistor r 1 is currently not required. space should be left on the board to add it in the future if necessary. pf c y 616 ?pf extal xtal c x c y r 1 board MPC555 / mpc556 vsssyn note: resistor r 1 is currently not re- quired. q1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-5 where is ?real? capacitor is pad capacitance is socket and trace capacitance is load capacitance capacitance of the socket capacitance of the board trace . it should be low since the crystal must be located very close to the chip. capacitance of the MPC555 / mpc556 xtal pin is capacitance of the MPC555 / mpc556 extal pin is tolerance of the capacitors taken into account is 10% e.3.2 kapwr filtering kapwr pin is the MPC555 / mpc556 keep-ali ve power. kapwr is used for the crys- tal oscillator circuit, and should be isolated from the noisy supplies. it is recommended that an rc filter be used on kapwr, or bypass capacitors which are located as close as possible to the part. figure e-4 rc filter example c xx c yy 2c l == c xx c x c pad c socket ++ = c yy c y c pad c socket ++ = c x c pad c socket c l c 1pf c 1pf c pad 7pf c pad 7pf kapwr board MPC555 / mpc556 vsssyn kap 3 v 100 nf 50 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-6 figure e-5 bypass capacitors example (alternative) e.3.3 pll external components vddsyn and vsssyn are the pll dedicated power supplies. these supplies must be used only for the pll and isolated from all other noisy signals in the board. vddsyn could be isolated with rc filter (see figure e-1 ), or lc filter. the maximum noise allowed on vddsyn, and vsssyn is 50 mv with typical cut-off frequency of 500 hz. figure e-6 rc filter example kapwr board MPC555 / mpc556 vsssyn kap 3 v 100 nf 1 f vddsyn board MPC555 / mpc556 vsssyn keyed 100 nf 10 ? vcc 3 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-7 figure e-7 lc filter example (alternative) e.3.4 pll off-chip capacitor c xfc c xfc is the pll feedback capacitor. it must be located as close as possible to the xfc and vddsyn pads. the maximum noise allowed on xfc is 50 mv peak to peak with typical cut-off frequency of 500 hz. the required value for c fxc are determined by the following two cases. mf is the mul- tiplication factor as defined in the plprcr register (refer to table 8-10 ). 1. 0 < (mf + 1) < 4 c xfc = (680 x (mf + 1) ? 120) pf 2. (mf + 1) 4 c xfc = 1100 x (mf +1) pf figure e-8 pll off-chi p capacitor example e.4 clock oscillator and pll extern al components layout requirements e.4.1 traces and placement traces connecting capacitors, crystal, resist or should be as short as possible. there- fore, the components (crystal, resistor and capacitors) should be placed as close to the oscillator pins of the MPC555 / mpc556 as possible. vddsyn board MPC555 / mpc556 vsssyn keyed 100 nf 8.2 mh vcc 3 v xfc board MPC555 / mpc556 c xfc vddsyn f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 clock and board guidelines motorola user?s manual rev. 15 october 2000 e-8 the voltage to the vddsyn pin should be well regulated and the pin should be pro- vided with an extremely low impedance path from the vddsyn filter to the vddsyn pad. the vsssyn pin should be provided with an extremely low impedance path in the board. all the filters for the supplies should be located as close as possible to the chip package. it is recommended to design individual vsssyn plane to improve vsssyn quietness. e.4.2 grounding/guarding the traces from the oscillator pins and pl l pins of the MPC555 / mpc556 should be guarded from all other traces to reduce crosstalk. it can be provided by keeping other traces away from the oscillator circuit and placing a ground plane around the compo- nents and traces. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory access timing motorola user?s manual rev. 15 october 2000 f-1 appendix f memory access timing f.1 introduction table f-1 lists all possible memory access timing to internal and external memory combinations. the clock values show the number of clocks from the moment an ad- dress is valid on a specific bus, until data is back on that same bus. the following as- sumptions were used when compiling the information:  the arbitration time was ignored. the values assume that the bus (or buses) in- volved in a transaction was in the idle state when the transaction needs that bus.  the uimb works in a mode of 1:1. this is relevant for imb accesses values. in the case of 2:1 mode, the clock latency for a cycle on the imb should be doubled. (each imb access takes two clocks.)  the basic delay of external bus to u-bu s is four clocks (external master case).  all imb accesses are assumed to be 16-b it accesses only. if 32-bit accesses are used, then each such imb access is split into two separate 16-bit cycles with nor- mal imb performance for each. table f-1 memory access times using different buses internal external ram/ flash show cycle flash ram imb siu internal memory mapped external non- mapped internal memory write read rcpu load/store 3/4 1 notes: 1. ?/? comes for on/off page flash access. 165 4+n 2 2. n is the number of clocks from external address valid till external data valid in the case of read cycle. in the case of zero wait states, n = 2. 4+n 2 2 rcpu instruction fetches 2-1-1-1-1... 3 3 3. assuming bbc is parked on u-bus. - -2+n 2+n - 1 4 4. until address is valid on external pins peripheral mode (ony ext. master is active) 4/5 676 slave mode (both ext. & int. cpus are active) 5/6 787 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 memory access timing motorola user?s manual rev. 15 october 2000 f-2 legend shaded areas = address phase ; non-shaded areas = data phase table f-2 timing examples access # clock total 1 2 3 4 5 6 7 8 9 10 11 12 13 load/store -> ebus l u e 6 1 notes: 1. n is the number of clocks from external address valid until external data valid in the case of read cycle. in the case of zero wait states, n = 2. eul load/store -> imb 16 bits l u imb 6 imb u l instruction fetch-> cmf new page 3 concecutive accesses c,u 2 u 2 2. core instruction fetch data bus is usualy the ubus c,u 1 u c,u 1 u instruction fetch-> cmf new page load/store -> imb c,u 2 u l u imb 6 imb u l instruction fetch-> cmf new page load/store -> imb c u 6 u l u imb 6 imb u l external bus-> cmf new page e u 5 ue external bus-> imb e u imb 7 imb u e instruction fetch-> cmf 2 concecutive accesses & external bus-> cmf c,u 2 u c - 3 3. 8 clocks are dedicated for external access, and internal accesses are denied. ------- u 11 u e retry e 4 4. assuming the external master immediately retries u 8 ue f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-1 appendix g electrical characteristics this section contains detailed information on power considerations, dc/ac electrical characteristics, and ac timing characteristics of the MPC555 / mpc556. the MPC555 / mpc556 is designed to operate at 40 mhz with nominal 3.3-v and 5.0-v power sup- plies. g.1 absolute maximum ratings (v ss = 0 v) table g-1 absolute maximum ratings rating symbol min. value max. value unit 3-v supply voltage 1 , 2 notes: 1. for internal digital supply of v ddl = 3.3 v typical. 2. v ddl and v ddi should always be connected to the same potential with no differential voltage. v ddl /v ddi -6.0 4.0 v flash supply voltages 3 v pp -6.0 6.0 v flash core voltage 1 v ddf -6.0 4.0 v oscillator, keep alive reg. supply voltage 1 kapwr -6.0 4.0 v sram supply voltage 1 v ddsram -6.0 4.0 v clock synthesizer supply voltage 1 v ddsyn -6.0 4.0 v qadc supply voltage 4 v dda -6.0 6.0 v 5-v supply voltage v ddh -0.3 6.0 v dc input voltages 5 v in v ss -0.3 v ddh +0.3 v reference supply v rh , with reference to v rl v rh ? v rl -0.3 6.0 v v ss differential voltage v ss ? v ssa -0.1 0.1 v v dd differential voltage 6 v ddl ? v dda -6.0 4.0 v v rl to v ssa differential voltage v rl ? v ssa -6.0 0.3 v maximum input current per pin 7, 8, 9 i ma -25 25 ma qadc maximum input current per pin i max -25 25 ma operating temperature range (packaged) t a -40 (t l ) +125 (t h ) c operating temperature range (die form) (maximum junction temperature for packaged devices) t j -40 +150 c storage temperature range t stg -55 +155 c maximum solder temperature 10 t sdr 220 c moisture sensitivity level 11 msl 3 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-2 functional operating conditions are given in g.7 dc electrical characteristics . ab- solute maximum ratings are stress ratings only, and functional operation at the maxi- mum is not guaranteed. stress beyond those listed may affect device reliability or cause permanent damage to the device. this device contains circuitry protecting against damage due to high static voltage or electrical fields; however, it is advised that normal precautions be taken to avoid appli- cation of any voltages higher than maximum-rated voltages to this high-impedance cir- cuit. reliability of operation is enhanced if unused inputs are tied to an appropriate logic voltage level (e.g., either v ss or v dd ). g.2 target failure rate target failure rate of tbd ppm pending characterization and evaluation of qualifiable silicon. g.3 package the MPC555 / mpc556 is available in two forms, packaged and die. the package is a 272-ball pbga, motorola case outline 1135a-01 (see figure 2-1 of the MPC555 us- er?s manual for a case drawing or contact motorola.) for die characteristics, contact the motorola factory. g.4 emi characteristics g.4.1 reference documents the documents referenced for the emc testing of MPC555 / mpc556 are listed below. 1. sae j1752/3 issued 1995-03 2. vde uk 767.14/zvei-ad-hoc-hl-ak version1.0 may 1994 g.4.2 definitions and acronyms emc ? electromagnetic compatibility emi ? electromagnetic interference tem cell ? transverse electromagnetic mode cell 3. during program/erase operation the value of v pp must be 5.0 v 5%. 4. v dda = 5.0 v 10%. 5. all 3-v input pins are 5-v tolerant. this applies to all input pins. 6. refers to allowed random sequencing of power supplies. 7. maximum continuous current on i/o pins provided the overall power dissipation is below the power dissipation of the package. proper operation is not guaranteed at this condition. 8. condition applies to one pin at a time. 9. transitions within the limit do not affect device reliability or cause permanent damage. exceeding limit may cause permanent conversion error on stressed channels and on unstressed channels. 10. solder profile per cdf-aec-q100, current revision. 11. moisture sensitivity per jedec test method a112. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-3 g.4.3 testing characteristics 1. scan range: 150 khz ? 1000 mhz 2. operating frequency: 20 mhz, 40 mhz 3. operating voltages: 3.3 v, 5.0 v 4. max spikes: 50 dbuv 5. i/o port waveforms: 50% duty cycle @ 100 s period 6. temperature: 25c (-40c, 125c if available) g.5 thermal characteristics table g-2 thermal characteristics characteristic symbol value unit bga package thermal resistance, junction to ambient ? natural convection r ja 42.8 1,2 notes: 1. junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and the board thermal resistance. 2. per semi g38-87 and jesd51-2 with the board horizontal. c/w bga package thermal resistance, junction to ambient ? four layer (2s2p) board, natural convection r ma 30.4 3,4 3. junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and the board thermal resistance. 4. per jesd51-6 with the board horizontal. c/w bga package thermal resistance, junction to board r jb 19.9 5 5. thermal resistance between the die and the printed circuit board (four layer [2s2p] board, natural con- vection). c/w bga package thermal resistance, junction to case (top) r jc 6.3 6 6. indicates the thermal resistance between the die and the case top surface as measured by the cold plate method (mil spec-883 method 1012.1) with the cold plate temperature used for the case temperature. c/w bga package thermal resistance, junction to package top, natural convection jt 2.7 7 7. thermal characterization parameter indicating the temperature difference between package top and the junction temperature per eia/jesd51-2. c/w f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-4 an estimation of the chip junction temperature, t j , in c can be obtained from the equation: t j = t a + (r ja x p d ) where: t a = ambient temperature (c) r ja = package junction to ambient resistance (c/w) p d = power dissipation in package the junction to ambient thermal resistance is an industry standard value which pro- vides a quick and easy estimation of thermal performance. unfortunately, the answer is only an estimate; test cases have demonstrated that errors of a factor of two are pos- sible. as a result, more detailed thermal characterization is supplied. historically, the thermal resistance has frequently been expressed as the sum of a junction to case thermal resistance an d a case to ambient thermal resistance: r ja = r jc + r ca where: r ja = junction to ambient thermal resistance (c/w) r jc = junction to case thermal resistance (c/w) r ja = case to ambient thermal resistance (c/w) r jc is device related and cannot be influenced by the user. the user controls the thermal environment to change the case to ambient thermal resistance, r ca . for in- stance, the user can change the air flow around the device, add a heat sink, change the mounting arrangement on printed circuit board, or change the thermal dissipation on the printed circuit board surrounding the device. this description is most useful for ceramic packages with heat sinks where some 90% of the heat flow is through the case to the heat sink to ambient. for most packages, a better model is required. the simplest thermal model of a package which has demonstrated reasonable accu- racy (about 20%) is a two resistor model consisting of a junction to board and a junc- tion to case thermal resistance. the junction to case covers the situation where a heat sink will be used or where a substantial amount of heat is dissipated from the top of the package. the junction to board thermal resistance describes the thermal perfor- mance when most of the heat is conducted to the printed circuit board. it has been ob- served that the thermal performance of most plastic packages and especially pbga packages is strongly dependent on the board. temperature. if the board temperature is known, an estimate of the junction temperature in the en- vironment can be made using the following equation: f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-5 t j = t b + (r jb x p d ) where: t b = board temperature (c) r jb = package junction to board resistance (c/w) p d = power dissipation in package (w) if the board temperature is known and the heat loss from the package case to the air can be ignored, acceptable predictions of junction temperature can be made. for this method to work, the board and board mounting must be similar to the test board used to determine the junction to board thermal resistance, namely a 2s2p (board with a power and a ground plane) and vias attaching the thermal balls to the ground plane. when the board temperature is not known, a thermal simulation of the application is needed. the simple two resistor model can be used with the thermal simulation of the application [2], or a more accurate and complex model of the package can be used in the thermal simulation. consultation on the creation of the complex model is available. to determine the junction temperature of the device in the application after prototypes are available, the thermal characterization parameter ( jt ) can be used to determine the junction temperature with a measurement of the temperature at the top center of the package case using the following equation: t j = t t + ( ja x p d ) where: t t = thermocouple temperature on top of package (c) r ja = thermal characterization parameter p d = power dissipation in package the thermal characterization parameter is measured per jesd51-2 characteristic published by jedec using a 40 gauge type t thermocouple epoxied to the top center of the package case. the thermocouple should be positioned so that the thermocouple junction rests on the package. a small amount of epoxy is placed over the thermocou- ple junction and over about 1 mm of wire extending from the junction. the thermocou- ple wire is placed flat against the package case to avoid measurement errors caused by cooling effects of the thermocouple wire. g.5.1 thermal references: semiconductor equipment and materials international 805 east middlefield rd mountain view, ca 94043 (415) 964-5111 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-6 mil-spec and eia/jesd (jedec) characteristics are available from global engi- neering documents at 800-854-7179 or 303-397-7956. jedec characteristics are available on the web at: http://www.jedec.org 1. c.e. triplett and b. joiner, ?an experimental characterization of a 272 pbga within an automotive engine controller module,? proceedings of semitherm, san diego, 1998, pp. 47-54. 2. b. joiner and v. adams, ?measurement and simulation of junction to board thermal resistance and its application in thermal modeling,? proceedings of semitherm, san diego, 1999, pp. 212-220. g.6 esd protection table g-3 esd protection characteristics symbol value units esd target for human body model (hbm) 2000 v hbm circuit description r1 1500 ? c 100 pf esd target for machine model (mm) 200 v mm circuit description r1 0 ? c 200 pf number of pulses per pin positive pulses (mm) negative pulses (mm) positive pulses (hbm) negative pulses (hbm) ? 3 3 1 1 ? interval of pulses ? 1 second notes: 1. all esd testing is in conformity with cdf-aec-q100 stress test qualification for automotive grade inte- grated circuits. 2. a device will be defined as a failure if after exposure to esd pulses the device no longer meets the device characteristic requirements. complete dc parametric and functional testing shall be performed per appli- cable device characteristic at room temperature followed by hot temperature, unless specified otherwise in the device characteristic. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-7 g.7 dc electrical characteristics table g-4 dc electrical characteristics (v ddl = 3.3 v 0.3 v, v ddh = 5.0 v 0.5 v, t a = t l to t h ) characteristic symbol min max unit 3-v only input high voltage 1 except extal and extclk v ih3 2.0 v ddh +0.3 v 3-v input high voltage extclk v ihc 2.4 v ddh +0.3 v 5-v input only high voltage 2 v ih5 0.7*v ddh v ddh +0.3 v 5-v input high voltage (qadc pqa, pqb) v iha5 0.7*v dda v dda +0.3 v muxed 3-v/ 5-v pins (gpio muxed with addr. (port a), data (port d), and control (port c)) 3-v input high voltage addr. (port a), data (port d), control (port c) 5-v input high voltage (gpio) v ih3m v ih5m 2.0 0.7*v ddh v ddh +0.3 v ddh +0.3 v 3-v input low voltage except extclk v il3 v ss -0.3 0.8 v 3-v input low voltage extclk v il3c v ss -0.3 0.4 v 5-v input low voltage v il5 v ss -0.3 0.4*v ddh v 5-v input low voltage (qadc pqa, pqb) v ila5 v ssa -0.3 0.4*v dda v muxed 3-v/ 5-v pins (gpio muxed with addr. (port a), data (port d), and control (port c)) 3-v input low voltage (addr. (port a), data (port d), control (port c)) 5-v input low voltage (gpio) v il3m v il5m v ss -0.3 v ss -0.3 0.8 0.4*v ddh v v qadc analog input voltage 3 v indc v ssa -0.3 v dda +0.3 v 3-v mode select current pull-up @ 0 v to v il3 , pull-down @ v ih3 to v ddl i act3 v 20 130 a 5-v mode select current pull-up @ 0 to v il5 , pull-down @ v ih5 to v ddh i act5 v 20 130 a 3-v input leakage current pull-up/down inactive i inact3 v ?1.0 a 5-v input leakage current pull-up/down inactive i inact5 v ?1.0 a qadc64 input current, channel off 4 pqa pqb i off -200 -150 200 150 na f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-8 3-v output high voltage v dd = v ddl (i oh = -2 ma) v oh3 2.4 ? v 5-v output high voltage v dd = v ddh (i oh = -2 ma) all 5-v only outputs except tpu. v oh5 v ddh -0.7 ?v 5-v output high voltage v dd = v ddh (i oh = -5 ma) for tpu pins only v ohtp5 v ddh -0.65 ?v muxed 3-v/ 5-v pins (gpio muxed with addr. (port a), data (port d), and control (port c)) 3-v output high voltage (i oh = -2 ma) 5-v output high voltage (i oh = -2 ma) v oh3m v oh5m 2.4 v ddh -0.7 ?v 3-v output low voltage v dd = v ddl (i ol = 3.2 ma) v ol3 ?0.5v 5-v output low voltage v dd = v ddh (i ol = 2 ma) all 5-v only outputs except tpu. v ol5 ?0.45v 5-v output low voltage v dd = v ddh for tpu pins only iol = 10 ma iol = 2 ma v oltp5 ? 1.0 0.45 v muxed 3-v/ 5-v pins (gpio muxed with addr. (port a), data (port d), and control (port c)) 3-v output low voltage (i ol = 3.2 ma) 5-v output low voltage (i ol = 2 ma) v ol3m v ol5m ?0.5 0.45 v output low current clkout @ v ol = 0.5 v i ol 2.0 ? ma output high current clkout @ v oh = 2.4 v i oh 2.0 ? ma clkout capacitance (@ 40 mhz) com[1:0] of sccr = 0b01 com[1:0] of sccr = 0b00 c clk ? 30 5 90 pf engclk capacitance@20mhz eeclk[1:0] of sccr = 0b01 eeclk[1:0] of sccr = 0b00 c eng ?25 5 50 6 pf capacitance for input, output, and bidirectional v in = 0 v, f = 1 mhz (except qadc) c in ?7pf load capacitance for bus pins only 7 com[1:0] of sccr = 0bx1 com[1:0] of sccr = 0bx0 c l ?25 50 pf qadc total input capacitance pqa not sampling pqb not sampling incremental capacitance added during sampling c in ? ? ? 15 10 5 pf hysteresis (only irq, tpu, mios, gpio, qadc [digital inputs] and po rese t , hreset , sreset ) 8 v h 0.5 ? v table g-4 dc electrical ch aracteristics (continued) (v ddl = 3.3 v 0.3 v, v ddh = 5.0 v 0.5 v, t a = t l to t h ) characteristic symbol min max unit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-9 operating current (3-v supplies) @ 33 mhz expanded v ddl / v ddi kapwr v ddsram v ddsyn (crystal frequency: 20 mhz) v ddf 9 single chip v ddl / v ddi kapwr v ddsram v ddsyn (crystal frequency: 20 mhz) v ddf 9 i ddl i ddkap i ddsrm i ddsyn i ddf i ddl i ddkap i ddsrm i ddsyn i ddf 206 6.6 1.7 1.7 8.3 206 6.6 1.7 1.7 8.3 ma operating current (5-v supplies)@ 33 mhz v ddh v dda v pp 10 i ddh5 i dda i ddpp 16.5 5 30 ma operating current (3-v supplies)@ 40 mhz 11 expanded v ddl / v ddi kapwr v ddsram v ddsyn (crystal frequency: 20 mhz) v ddf 9 single chip v ddl / v ddi kapwr v ddsram v ddsyn (crystal frequency: 20 mhz) v ddf 9 i ddl i ddkap i ddsrm i ddsyn i ddf i ddl i ddkap i ddsrm i ddsyn i ddf 250 8.0 2.0 2.0 10 250 8.0 2.0 2 10 ma operating current (5-v supplies)@ 40 mhz v ddh v dda 12 v pp 10 i ddh5 i dda i ddvpp 20 5.0 30 ma qadc64 low power stop mode (v dda )i dda 10 a low power current @ 40 mhz (vddi) doze, active pll and active clocks sleep, active pll with clocks off deep sleep 13 pll and clocks off i dddz i ddslp i dddpslp 100 10 4 ma ma ma v ddl , v ddi , v ddf operating voltage v ddl , v ddi, v ddf 3.0 3.6 v v pp flash operating voltage v pp v ddf -0.35 v 5.50 v table g-4 dc electrical ch aracteristics (continued) (v ddl = 3.3 v 0.3 v, v ddh = 5.0 v 0.5 v, t a = t l to t h ) characteristic symbol min max unit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-10 v pp flash programming voltage v pp 4.75 5.25 v oscillator, keep alive registers operating voltage during normal operation (v ddl powered-up) 13,14 kapwr v ddl -0.2 v ddl +0.2 v oscillator, keep alive registers operating voltage during powered-down operation kapwr 3.0 3.6 v sram operating voltage during normal operation (v ddl powered-up) 14 v ddsram v ddl -0.2 v ddl + 0.2 v v ddh operating voltage v ddh 4.5 5.5 v qadc operating voltage v dda 4.5 5.5 v clock synthesizer operating voltage 13 v ddsyn v ddl -0.2 v ddl +0.2 v v ss differential voltage v ss ? v ssa -100 100 mv qadc64 reference voltage low 15 v rl v ssa v ssa +0.1 v qadc64 reference voltage high 16 v rh v dda -0.3 v dda v qadc64 v ref differential voltage v rh ? v rl 4.5 5.5 v qadc64 reference supply current, dc qadc64 reference supply current, transient measured on v rh i ref i ref ? ? 500 4.0 a ma standby supply current kapwr only v ddsram only (ram standby current) @ t j = 90c v ddsram only (ram standby current) @ t j = 90c with low voltage protection circuitry v ddsram only (ram standby current) @ t j = 150c 4 100 150 250 ma a a a ram standby voltage for data retention (powered-down mode) specified v dd applied (v dd = v ss ) v ddsram 1.4 17 3.6 v dc injection current per pin gpio, tpu, mios, qsm, epee and 5 v 18,19 i ic5 -1.0 1.0 ma dc injection current per pin 3 v 18, 19 i ic3 -1.0 1.0 ma qadc64 disruptive input current 18, 20 i na -3 3 ma power dissipation -40 mhz 33 mhz pd 1 0.8 w notes: 1. this spec is for 3-v output and 5-v input friendly pins. 2. this spec is for 5-v output and 5-v input pins. 3. within this range, no significant injection will be seen. see qadc64 disruptive input current (i na ). 4. maximum leakage occurs at maximum operating temper ature. current decreases by approximately one-half for each 8 ? 12c, in the ambient temperature range of 50 ? 125c. 5. 45 pf maximum for mask sets prior to k62n table g-4 dc electrical ch aracteristics (continued) (v ddl = 3.3 v 0.3 v, v ddh = 5.0 v 0.5 v, t a = t l to t h ) characteristic symbol min max unit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-11 6. 90 pf maximum for mask sets prior to k62n 7. all bus pins support two drive strengths capabilities, 25 pf and 50 pf. current drive is less at the 25-pf capac- itive load. both modes achieve 40-mhz timing. 8. only irq, tpu, mios, gpio, qadc (when digital input s) and reset pins have hysteresis, thus there is no hysteresis characteristic required for all other pins 9. the worst case v ddf occurs during hreset active (booting), other modules will not be running. 10. maximum occurs during programming and erase. read i pp is lower. 11. all power consumption characteristics assume 50-pf loads and running a typical application.the power con- sumption of some modules could go up is they are exercised heavier, but the power consumption of other mod- ules would decrease. 12. current measured at maximum system clock frequency with qadc active. 13. this parameter is periodically sampled rather than 100% tested. 14. kapwr and v ddsram are powered up prior to any other supply. 15. to obtain full-range results, v ssa v rl v indc v rh v dda 16. to obtain full-range results, v ssa v rl v indc v rh v dda 17. the voltage at which the lvsrs bits in the vsrmcr register will be set ranges from 1.5 ? 2.4 v. 18. all injection current is transferred to the v ddh . an external load is required to dissipate this current to maintain the power supply within the specified voltage range. 19. total injection current for all digital input-only and all digital input/output pins must not exceed 10 ma. exceed- ing this limit can cause disruption of normal operation. 20. below disruptive current conditions, the channel being stressed has conversion values of 0x3ff for analog inputs greater than v rh and $000 for values less than v rl . this assumes that v rh v dda and v rl v ssa due to the presence of the sample amplifier. other ch annels are not affected by non-disruptive conditions. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-12 g.8 oscillator and pll electrical characteristics g.9 power up/down sequencing see section 8 clocks and power control . table g-5 oscillator and pll characteristic symbol min typical max unit oscillator startup time (for typical crystal capacitive load) 4-mhz crystal 20-mhz crystal oscstart4 oscstart20 10 10 ms pll lock time t lock 500 input clocks pll operating range f vcoout 30 80 mhz crystal operating range, modck[1:3]=0b010, or 0b110 modck[1:3] = 0b001, 0b011, 0b100, 0b101, 0b111 f crystal 2 15 5 25 mhz pll jitter pll jitter (averaged over 10 s) mf < 20 f jit f jit10 -1% -0.3 +1% +0.3 ? limp mode clock frequency f limp 3 1 notes: 1. values to be evaluated upon further characterization. 7 12 1 mhz oscillator bias current (xtal) 4 mhz 20 mhz i bias ? ? -0.4 1 -0.8 1 ma oscillator drive (xtal) iosc 8 1 25 1 ma oscillator bias resistor r osc 0.72 1 1.1 1.93 1 m ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-13 g.10 flash electrical characteristics note see appendix h flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only for flash electrical characteristics for all j76n, 0k02a, and 1k02a mask sets. contact motorola for flash electrical characteristics for all j12f mask sets. table g-6 program and erase characteristics (v ddf = 3.3 v 0.3 v, v pp = 4.75 v to 5.25 v, t a = t l to t h ) symbol meaning value units minimum typical maximum e pulse number of erase pulses 8 8 27 t erase erase pulse time 98 100 102 ms p pulse(4.75 vpp) number of program pulses @v pp = 4.75 ? 45000 48000 1,2 notes: 1. the worst case programming time occurs at v pp = 4.75 v and t a = -40 c. 2. this value is based on initial device characterization and may not be tested in production. pulses p pulse(5.0 vpp) number of program pulses @v pp = 5.00 ? 800 7000 pulses p pulse(5.25 vpp) number of program pulses @v pp = 5.25 ? 250 2000 3 3. the best case (fastest) programming time of < 50 pulses is at v pp = 5.25 v and t a = 125c. pulses t prog program pulse time 48 50 256.5 s c pulse(4.75 vpp) number of censor clear pulses @v pp = 4.75 47 87 700 pulses c pulse(5.0 vpp) number of censor clear pulses @v pp = 5.00 11 17 57 pulses c pulse(5.25 vpp) number of censor clear pulses @v pp = 5.25 81037pulses t clear censor clear pulse time 98 100 102 ms s pulse(4.75 vpp) number of censor set pulses @v pp = 4.75 47 87 700 pulses s pulse(5.0vpp) number of censor set pulses @v pp = 5.00 11 17 57 pulses s pulse(5.25 vpp) number of censor set pulses @v pp = 5.25 81037pulses t set censor set pulse time 98 100 102 ms f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-14 g.10.1 flash module life table g-7 cmf ac and dc power supply characteristics symbol meaning min. value max value unit v ddf operating voltage read, program or erase 3.0 3.6 v i ddf operating current at 40.0 mhz, v ddf = 3.3 v for a 256-kbyte module read, program or erase operation disabled ? ? 10 5 ma v pp external program or erase voltage read program or erase v ddf -0.35 4.75 5.5 5.25 v i ddpp external program and erase current 1 read, v pp = 5 v program, v pp = 5.25 v erase, v pp = 5.25 v notes: 1. average current is less than 30 ma when programming both modules simultaneously. <100 30 1 30 1 a ma ma table g-8 flash module life symbol meaning value p/e cycles 1 notes: 1. target failure rate at specified number of program/er ase cycles of 2 ppm pending characterization of production silicon. maximum number of program/erase cycles 2 to guarantee data retention array blocks 2. a program/erase cycle is defined as switching the bits from 1 ? 0 ? 1. 100 3,4 3. reprogramming of a cmf array block prior to erase is not required. 4. number of program/erase cycles to be adjusted pending characterization of production silicon. p/e cycles 1 maximum number of program/erase cycles 2 to guarantee data retention censor bits 10 4 retention data retention at average operating temperature of 85 c minimum 10 years f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-15 g.10.2 programming and erase algorithm table g-9 cmf programming algorithm (v6 and later) no. of pulses pulse width nvr paws gdb paws mode description 4 256 s 1 100 1 mode 4nl negative gate ramp (low range) 4 256 s 1 101 1 mode 5nl 4 256 s 1 110 1 mode 6nl 4 256 s 1 111 1 mode 7nl 20 50 s 0 100 1 mode 4nl negative gate ramp (high range) 20 50 s 0 101 1 mode 5nl 20 50 s 0 110 1 mode 6nl max. 48,000 50 s 0 111 1 mode 7nl table g-10 cmf eras e algorithm (v6) no. of pulses pulse width nvr paws gdb paws mode description 1 100 ms 1 notes: 1. no margin read after pulse. 1 100 1 mode 4nl negative gate ramp (low range) 1 100 ms 1 1 101 1 mode 5nl 1 100 ms 1 1 110 1 mode 6nl 1 100 ms 1 1 111 1 mode 7nl 1 100 ms 1 0 100 1 mode 4nl negative gate ramp (high range) 1 100 ms 1 0 101 1 mode 5nl 1 100 ms 1 0 110 1 mode 6nl 20 100 ms 2 2. do margin read after each pulse. 0 111 1 mode 7nl f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-16 g.11 generic timing figure g-1 clkout timing clkout outputs inputs inputs vih vil vih vih vil vil vih vih vil vil vih vih vil vil vih a b cd cd a. maximum output delay characteristic b. minimum output hold time c. minimum input setup time characteristic d. minimum input hold time characteristic outputs vih vil vil vih a b vil f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-17 table g-11 bus operation timing (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max 1 clkout period tc 30.30 25 ns 1a engclk 2 20 20 mhz 2 clock pulse width low 15 ? 2% 12.5 ? 2% ns 3 clock pulse width high 15 ? 2% 12.5 ? 2% ns 4clkout rise time 5 5 ns 4a engclk rise time 20 20 ns 5 clkout fall time 5 5 ns 5a engclk fall time 20 20 ns 6 circuit parameter tcc 8 7.75 ns 7 clkout to signal invalid (hold time) a[0:31] rd/wr burst d[0:31] 0.2tc ? 1.0 5 4 ns 7a clkout to signal invalid: (hold time) tsiz[0:1] r sv at[0:3] bdi p 0.2tc ? 1.0 5 4 ns 7b clkout to signal invalid (hold time) 3 b r bg frz vfls[0:1] vf[0:2] iwp[0:2] lwp[0:1] ptr retry st s 4 0.2tc ? 1.0 5 4 ns 7c slave mode clkout to signal invalid d[0:31] 0.25tc + tcc 5 4 ns 8 clkout to signal valid a[0:31] rd/wr burst 0.25tc + tcc 7.5 14 6.25 14 ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-18 8a clkout to signal valid tsiz[0:1] rsv at[0:3] bdi p 0.25tc + tcc 7.5 15 6.25 15 ns 8b clkout to signal valid 3 b r bg vfls[0:1] vf[0:2] iwp[0:2] frz lwp[0:1] ptr retry mt s 0.25tc + tcc 7.5 15 6.25 14 ns 8c slave mode clkout to signal valid d[0:31] 0.25tc + tcc + 4 7.5 15 6.25 14 ns 8d clkout to signal valid d[0:31] 0.25tc + tcc 7.5 14 6.25 14 ns 9 clkout to signal invalid a[0:31] rd/wr burst d[0:31] tsiz[0:1] rsv at[0:3] ptr retry 0.25tc + tcc 7.5 15 6.25 13 ns 10 clkout to ts , bb assertion 0.25tc + tcc 7.5 15 6.25 14 ns 10a clkout to ta , bi assertion (when driven by the memory controller) ?1010ns 10b clkout to retry assertion (when driven by the memory controller) ?1010ns 11 clkout to ts , bb negation 0.25tc + tcc 7.5 15 6.25 14 ns 11a clkout to ta , bi negation (when driven by the memory controller) ?1111ns 11b clkout to retry negation (when driven by the memory controller) ?1111ns 12 clkout to ts , bb signal invalid 0.25tc + 14 7.5 21 6.25 20 ns table g-11 bus operation timing (continued) (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-19 12a clkout to ta , bi signal invalid (when driven by the memory controller) 15 15 ns 13 clkout to tea assertion 11 11 ns 14 clkout to tea signal invalid 15 15 ns 15 input valid to clkout (setup time) ta tea b i 3 13 12 ns 15a input valid to clkout (setup time) kr cr retry 11 10 ns 15b input valid to clkout (setup time) bb bg b r 5 10 8 ns 16 clkout to signal invalid (hold time) ta tea bi bb bg b r 4 22ns 16a clkout to signal invalid (hold time) retry kr cr 22ns 17 signal valid to clkout rising edge (setup time) d[0:31] 6 76ns 18 clkout rising edge to signal invalid (hold time) d[0:31] 6 11ns 19 clkout rising edge to cs asserted -gpcm- acs = 00 0.25tc + tcc + 1 7.5 16 6.25 14 ns 19a clkout falling edge to cs asserted -gpcm- acs = 10, trlx = 0 or 1 tcc + 1 9 8 ns 19b clkout falling edge to cs asserted -gpcm- acs = 11, trlx = 0 or 1 0.25tc + tcc + 1 7.5 16 6.25 14 ns table g-11 bus operation timing (continued) (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-20 19c clkout falling edge to cs asserted -gpcm- acs = 11, trlx = 0, ebdf = 1 0.25tc + tcc + 4 7.5 20 6.25 17 ns 20 clkout rising edge to cs negated -gpcm- read access or write access when csnt = 0 or write access when csnt = 1 and acs = 00 tcc + 1 1 9 1 8 ns 21 a[0:31] to cs asserted -gpcm- acs = 10, trlx = 0 21.5ns 21a a[0:31] to cs asserted -gpcm- acs = 11, trlx = 0 87ns 22 clkout rising edge to oe ,we [0:3]/ be [0:3] asserted 11 9 ns 23 clkout rising edge to oe negated 2 11 0 8 ns 24 a[0:31] to cs asserted -gpcm- acs = 10, trlx = 1 30 25 ns 24a a[0:31] to cs asserted -gpcm- acs = 11, trlx = 1 38 32 ns 25 clkout rising edge to we [0:3]/ be [0:3] negated -gpcm-write access csnt = ?0? 98ns 25a clkout falling edge to we [0:3]/ be [0:3] negated -gpcm-write access trlx = ?0? or ?1?, csnt = ?1, ebdf = 0?. 0.25tc + tcc + 1 7.5 6.25 5 14 ns 25b clkout falling edge to cs negated -gpcm-write access trlx = ?0? or ?1?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 0 0.25tc + tcc + 1 7.5 16 5 14 ns 25c clkout falling edge to we [0:3]/ be [0:3] negated -gpcm-write access trlx = ?0?, csnt = ?1, ebdf = 1?. 0.25tc +tcc + 4 7.5 20 6.25 17 ns 25d clkout falling edge to cs negated -gpcm-write access trlx = ?0?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 1 0.25tc +tcc + 4 7.5 20 6.25 17 ns 26 we [0:3]/be [0:3] negated to d[0:31] signal invalid -gpcm- write access, csnt = ?0? 00ns 26a we [0:3]/be [0:3] negated to d[0:31] signal invalid -gpcm- write access, trlx = ?0?, csnt = ?1?, ebdf = 0 54ns table g-11 bus operation timing (continued) (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-21 26b cs negated to d[0:31], signal invalid -gpcm- write access, acs = ?00?, trlx = ?0? & csnt = ?0? 00ns 26c cs negated to d[0:31], signal invalid -gpcm- write access, trlx = ?0?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 0 54ns 26d we [0:3] negated to d[0:31] signal in- valid -gpcm- write access, trlx = ?1?, csnt = ?1?, ebdf = 0 38 29 ns 26e cs negated to d[0:31] signal invalid -gpcm- write access, trlx = ?1?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 0 38 29 ns 26f we [0:3]/be [0:3] negated to d[0:31] signal invalid -gpcm- write access, trlx = ?0?, csnt = ?1?, ebdf = 1 12 5 ns 26g cs negated to d[0:31] signal invalid -gpcm- write access, trlx = ?0?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 1 12 5 ns 26h we [0:3]/be [0:3] negated to d[0:31] signal invalid -gpcm- write access, trlx = ?1?, csnt = ?1?, ebdf = 1 30 24 ns 26i cs negated to d[0:31] signal invalid -gpcm- write access, trlx = ?1?, csnt = ?1?, acs = ?10? or acs=?11?, ebdf = 1 30 24 ns 27 cs , we [0:3] negated to a[0:31] invalid -gpcm- write access 7 00ns 27a we [0:3]/be [0:3] negated to a[0:31] in- valid -gpcm- write access, trlx = ?0?, csnt = '1?. cs negated to a[0:31] invalid -gpcm- write access, trlx = ?0?, csnt = '1?, acs = 10,acs = =?11?, ebdf = 0 18 4 ns table g-11 bus operation timing (continued) (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-22 27b we [0:3]/be [0:3] negated to a[0:31] in- valid -gpcm- write access, trlx = ?1?, csnt = '1?. cs negated to a[0:31] invalid -gpcm- write access, trlx = ?1?, csnt = '1?, acs = 10, acs = =?11?, ebdf = 0 38 29 ns 27c we [0:3]/be [0:3] negated to a[0:31] in- valid -gpcm- write access, trlx = ?0?, csnt = '1?. cs negated to a[0:31] invalid -gpcm- write access, trlx = ?0?, csnt = '1?, acs = 10,acs = =?11?, ebdf = 1 54ns 27d we [0:3]/be [0:3] negated to a[0:31] in- valid -gpcm- write access, trlx = ?1?, csnt = '1?. cs negated to a[0:31] invalid -gpcm- write access, trlx = ?1?, csnt = '1?, acs = 10,acs = =?11?, ebdf = 1 30 24 ns 28 a[0:31], tsiz[0:1], rd/wr , burst , bdip valid to clkout rising edge. (slave mode setup time) 11 9 ns 28a slave mode d[0:31] valid to clkout rising edge 7ns 29 ts valid to clkout rising edge (setup time) 97ns 30 clkout rising edge to ts valid (hold time). 22ns notes: 1. expressions are approximate equations only. 2. this is the maximum frequency at which engclk will meet output drive and rise/fall time specifications. 3. the timing for br ouput is relevant when the MPC555 / mpc556 is selected to work with external bus arbiter. the timing for bg output is relevant when the MPC555 / mpc556 is selected to work with internal bus arbiter. 4. the setup times required for ta , tea , and bi are relevant only when they are supplied by the external device (and not the memory controller). 5. the timing required for br input is relevant when the mpc500 family microcontroller is selected to work with internal bus arbiter. the timing for bg is relevant when the mpc500 is selected to work with external bus arbiter. 6. the d[0:31] input timings 17 and 18 refer to the rising edge of the clkout in which the ta input signal is as- serted. 7. the timing 27 refers to cs when acs = ?00? and to we [0:3] when csnt = ?0?. table g-11 bus operation timing (continued) (t a = t l to t h ) characteristic expression 1 33 mhz 40 mhz unit min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-23 figure g-2 external clock timing clkout 1 1 3 2 4 5 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-24 figure g-3 synchronous output signals timing 8 8a 7b 9 9 7a 7 8b output signals clkout output signals output signals f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-25 figure g-4 synchronous active pull-up and open drain outputs signals timing clkout ts , bb ta , bi tea 10 12 11 10a 12a 11a 13 14 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-26 figure g-5 synchronous input signals timing clkout ta , bi tea , kr , retry , cr bb , bg , br 15 16 15a 16a 15b 16 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-27 figure g-6 input data timing in normal case clkout ta d[0:31] 15a 16 17 18 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-28 figure g-7 external bus read timi ng (gpcm controlled ? acs = ?00?) clkout ts a[0:31] cs x oe we [0:3] d[0:31] 8 10 19 22 11 20 23 17 18 25 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-29 figure g-8 external bus read timing (gpc m controlled ? trlx = ?0? acs = ?10?) clkout ts a[0:31] cs x oe d[0:31] 8 10 19a 22 11 20 23 17 18 21 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-30 figure g-9 external bus read timing (gpc m controlled ? trlx = ?0? acs = ?11?) 19c 19b clkout ts a[0:31] cs x oe d[0:31] 8 10 22 11 20 23 17 18 21a f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-31 figure g-10 external bus read timing (gpcm controlled ? trlx = ?1?, acs = ?10?, acs = ?11?) 8 19a 11 20 23 17 18 24 24a 19b 19c 10 clkout ts a[0:31] cs x oe d[0:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-32 figure g-11 address show cycle bus timing 11 10 8 9 clkout ts a[0:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-33 figure g-12 addr ess and data show cycle bus timing 8 10 11 20 9 8 26 26b 27 d[0:31] clkout ts a[0:31] cs x we [0:3] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-34 figure g-13 external bus write timing (g pcm controlled ? trlx = ?0?, csnt = ?0?) 8 10 19 22 11 20 25 9 23 8 26 26b 27 d[0:31] oe we [0:3] cs x a[0:31] ts clkout f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-35 figure g-14 external bus write timing (gpcm controlled ? trlx = ?0?, csnt = ?1?) 8 10 19 22 11 20 9 23 8 26a 25a 25b 26c 27a 27c 25d 26g 26g 25c d[0:31] oe we [0:3] cs x a[0:31] ts clkout f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-36 figure g-15 external bus write timing (gpcm controlled ? trlx = ?1?, csnt = ?1?) 8 10 19 22 11 20 9 23 8 26d 25a 25b 26e 26b 27b 27d 25d 26i 26h 25c d[0:31] oe we [0:3] cs x ts clkout a[0:31] f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-37 figure g-16 external master read from internal registers timing 28 10a 12a 11a 13 14 9 8 10b 11b retry d[0:31] tea , ta , bi a[0:31], tsiz[0:1],rd/wr , burst ts clkout 29 30 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-38 figure g-17 external master write to internal registers timing 29 28 30 10a 12a 11a 13 14 28a 18 10b 11b retry d[0:31] tea , ta , bi a[0:31], tsiz[0:1], rd/wr , burst ts clkout f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-39 g.12 interrupt timing figure g-18 interrupt detection timi ng for external leve l sensitive lines table g-12 interrupt timing (t a = t l to t h ) characteristic expression 33mhz 40mhz unit min max min max 31 irq x valid to clkout rising edge (setup time) 1 notes: 1. the timings 31 and 32 describe the testing conditions under which the irq lines are tested when being defined as level sensitive. the irq lines are synchronized internally and do not have to be asserted or negated with ref- erence to the clkout. 10 10 ns 32 irq x hold time after clkout 1 22ns 33 irq x pulse width low 3 3 ns 34 irq x pulse width high 3 3 ns 35 irq x edge to edge time 4*tc 121 100 ns 31 32 clkout irq x f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-40 figure g-19 interrupt detection timing for external edge sensitive lines g.13 debug port timing table g-13 debug port timing (t a = t l to t h ) characteristic expression 33mhz 40mhz unit min max min max 36 dsck cycle time 120 ? 60 ? ns 37 dsck clock pulse width 50 ? 25 ? ns 38 dsck rise and fall times 0 3 0 3 ns 39 dsdi input data setup time 12 ? 12 ? ns 40 dsdi data hold time 5 ? 5 ? ns 41 dsck low to dsdo data valid 0 18 0 18 ns 42 dsck low to dsdo invalid 0 ? 0 ? ns 35 33 34 31 35 clkout irq x f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-41 figure g-20 debug port clock input timing 36 36 37 37 38 38 dsck f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-42 figure g-21 debug port timings 40 42 41 39 dsdo dsdi dsck f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-43 g.14 reset timing table g-14 reset timing (t a = t l to t h ) characteristic expression 33mhz 40mhz unit min max min max 43 clkout to hreset high impedance 20 20 ns 44 clkout to sreset high impedance 20 20 ns 45 rstconf pulse width 17*tc 515 425 ns 46 configuration data to hreset rising edge setup time 15*tc + tcc 463 382 ns 47 configuration data to rstconf rising edge set up time 15*tc + tcc 463 382 ns 48 configuration data hold time after rstconf negation 00ns 49 configuration data hold time after hreset negation 00ns 49a rstconf hold time after hreset negation 1 notes: 1. weak pullups and pulldowns used for reset timing will comply with the 130- a mode select current outlined in table g-4 .the simplest way to insure meeting this requirement in systems that require the use of the texp func- tion, is to connect rstconf /texp to sreset . the maximum rise time of hreset should be less than six clock cycles. 60 50 ns 50 hreset and rstconf asserted to data out drive 25 25 ns 51 rstconf negated to data out high impedance. 25 25 ns 52 clkout of last rising edge before chip tristates hreset to data out high impedance. 25 25 ns 53 dsdi, dsck set up 3*tc 91 75 ns 54 dsdi, dsck hold time 0 0 ns 55 sreset negated to clkout rising edge for dsdi and dsck sample 8*tc 243 200 ns 55a hreset , sreset, poreset pulse width 2 2. hreset , sreset and poreset have a glitch detector to ensure that spikes less than 20 ns are rejected. the internal hreset , sreset and poreset will assert only if these signals are asserted for more than 100 ns. 100 100 ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-44 figure g-22 reset timing ? c onfiguration from data bus 46 48 49 45 47 49a d[0:31] (in) rstconf hreset f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-45 figure g-23 reset timing ? data bus weak drive during configuration 50 51 52 43 55 clkout hreset rstconf d[0:31] (out) (weak) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-46 figure g-24 reset timing ? debug port configuration 53 44 54 54 53 55 dsck, dsdi sreset clkout f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-47 g.15 ieee 1149.1 electrical characteristics table g-15 jtag timing 1 (t a = t l to t h ) notes: 1. jtag timing is only tested at 10 mhz characteristic expression 33 mhz 40 mhz unit min max min max 56 tck cycle time 100 ? 100 ? ns 57 tck clock pulse width measured at v dd /2 40 ? 40 ? ns 58 tck rise and fall times 0 10 0 10 ns 59 tms, tdi data setup time 5 ? 5 ? ns 60 tms, tdi data hold time 25 ? 25 ? ns 61 tck low to tdo data valid ? 20 ? 20 ns 62 tck low to tdo data invalid 0 ? 0 ? ns 63 tck low to tdo high impedance ? 20 ? 20 ns 64 trst assert time 100 ? 100 ? ns 65 trst setup time to tck low 40 ? 40 ? ns 66 tck falling edge to output valid ? 50 ? 50 ns 67 tck falling edge to output valid out of high impedance ?50?50 ns 68 tck falling edge to output high impedance ?50?50 ns 69 boundary scan input valid to tck rising edge 50 ? 50 ? ns 70 tck rising edge to boundary scan input invalid 50 ? 50 ? ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-48 figure g-25 jtag test clock input timing tck 56 56 57 57 58 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-49 figure g-26 jtag ? test access port timing diagram 60 62 59 61 63 tdo tms, tdi tck f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-50 figure g-27 jtag ? trst timing diagram tck trst 65 64 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-51 figure g-28 bounda ry scan (jtag) timing diagram 66 67 68 69 70 output signals tck output signals output signals f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-52 g.16 qadc64 electrical characteristics table g-16 qadc64 conversion characteristics (operating) (t a = t l to t h ) num parameter symbol min max units 97 qadc clock (qclk) frequency 1 notes: 1. conversion characteristics vary with f qclk rate. reduced conversion accuracy occurs at max f qclk rate. f qclk 0.5 3.0 mhz 98 conversion cycles 2 2. the number of conversion cycles is dependent on the ist bits in the ccw register. cc 14 28 qclk cycles 99 conversion time 3 f qclk = 2.0 mhz 1,4 min = ccw/ist = 0b00 max = ccw/ist = 0b11 3. assumes that f sys = 40 mhz 4. assumes f qclk = 2.00 mhz, with clock prescaler values of: qacr0: psh =0b01111, psa = 0b0, psl = 0b011) ccw: byp = 0b0 t conv 7.0 14.0 s 100 stop mode recovery time t sr ? 10 s 101 resolution 5 5. at v rh ? v rl = 5.12 v, one count = 5 mv (at 5.0 v, one count = 4.8875 mv). ? 5 ? mv 102 absolute (total unadjusted) error 6, 7, 8, 9 f qclk = 2.0 mhz 3 , 2 clock input sample time 6. accuracy tested and guaranteed at v rh ? v rl = 5.0 v 0.5 v 7. this parameter is periodically sampled rather than 100% tested. 8. absolute error includes 1/2 count (~2.5 mv) of inherent quantization error and circuit (differential, integral, and offset) error. characteristic assumes that adequate low-pass filtering is present on analog input pins ? capacitive filter with 0.01 f to 0.1 f capacitor between analog input and analog ground, typical source isolation imped- ance of 10 kbytes. 9. input signals with large slew rates or high frequency noise components cannot be converted accurately. these signals may affect the conversion accuracy of other channels. ae -2.0 2.0 counts 103 dc disruptive input injection current 10, 11, 12, 13, 14 10. below disruptive current conditions, the channel being stressed has conversion values of 0x3ff for analog in- puts greater than v rh and 0x000 for values less than v rl . this assumes that v rh <= v dda and v rl <= v ssa due to the presence of the sample amplifier. other channels are not affected by non-disruptive conditions. 11. exceeding limit may cause conversion error on stressed channels and on unstressed channels. transitions within the limit do not affect device reliability or cause permanent damage. i inj 15 i inj 16 -3 -1 3 1 ma 104 current coupling ratio 17 pqa pqb k ? ? 8x10 -5 8x10 -5 105 incremental error due to injection current all channels have same 10 k ? < rs < 100 k ? channel under test has rs = 10 k ? , i inj = + 3 ma e inj + 1.0 + 1.0 counts 106 source impedance at input 18 r s ? 100 k ? 107 incremental capacitance during sampling 19 c samp ? 5 pf f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-53 g.17 qsmcm electrical characteristics 12. input must be current limited to the value specified. to determine the value of the required current-limiting re- sistor, calculate resistance values using v posclamp = (the lower of v dda or v ddh ) + 0.3 v and v negclamp = -0.3 v, then use the larger of the calculated values. the diode drop voltage is a function of current and varies approximately 0.4 to 0.8 volts over temperature 13. this parameter is periodically sampled rather 100% tested. 14. derate linearly to 0.3 ma if v ddh - v dda = 1 volt. this characteristic is preliminary and may change after further characterization. 15. condition applies to two adjacent pins. 16. condition applies to all analog channels. 17. current coupling ratio, k, is defined as the ratio of the output current, i out , measured on the pin under test to the injection current, i inj , when both adjacent pins are overstressed with the specified injection current. k = i out / i inj the input voltage error on the channel under test is calculated as v err = i inj * k * r s 18. maximum source impedance is application-dependent. error resulting from pin leakage depends on junction leakage into the pin and on leakage due to charge-sharing with internal capacitance. error from junction leakage is a function of external source impedance and input leakage current. in the following expression, expected error in result value due to junction leakage is expressed in voltage (v errj ): v errj = r s * i off where i off is a function of operating temperature. charge-sharing leakage is a function of input source impedance, conversion rate, change in voltage between successive conversions, and the size of the filtering capacitor used. error levels are best determined empirically. in general, continuous conversion of the same channel may not be compatible with high source impedance 19. for a maximum sampling error of the input voltage <= 1lsb, then the external filter capacitor, c f >= 1024 * c samp . the value of c samp in the new design may be reduced. table g-17 qspi timing (t a = t l to t h , 50 pf load on all qspi pins except as noted) name function symbol min max unit 108 operating frequency 1 master slave f op dc dc f sys /4 f sys /4 hz 109 cycle time master slave t qcyc 4*tc 4*tc 510*tc 2 ? ns 110 enable lead time master slave t lead 2*tc 2*tc 128*tc ? ns 111 enable lag time master slave t lag ? 2*tc sck/2 ? ns 112 clock (sck) high or low time master slave 3 t sw 2*tc ? 60 2*tc ? n 255*tc ? ns 113 sequential transfer delay master slave (does not require deselect) t td 17*tc 13*tc 8192*tc ? ns 114 data setup time (inputs) master slave t su 30 20 ? ? ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-54 115 data hold time (inputs) master slave t hi 0 20 ? ? ns 116 slave access time t a ?tcns 117 slave miso disable time t dis ?2*tcns 118 data valid (after sck edge) master slave t v ? ? 50 50 ns 119 data hold time (outputs) master slave t ho 0 0 ? ? ns 120 rise time input output up to 50 pf load, slrc bit of pdmcr = ?0? up to 200 pf load, slrc bit of pdmcr = ?0? up to 50 pf, slrc bit of pdmcr = ?1? t ri t ro ? 10 20 2 1 50 100 25 s ns ns ns 121 fall time input output up to 50 pf load, slrc bit of pdmcr = ?0? up to 200 pf load, slrc bit of pdmcr = ?0? up to 50 pf, slrc bit of pdmcr = ?1? t fi t fo ? 10 20 2 1 50 100 25 s ns ns ns notes: 1. all ac timing is tested to the 5-v levels outlined in table g-4 . 2. tc is defined to be the clock period of f sys (imb clock). 3. for high time, n = external sck rise time ; for low time, n = external sck fall time. table g-17 qspi timing (continued) (t a = t l to t h , 50 pf load on all qspi pins except as noted) name function symbol min max unit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-55 figure g-29 qspi timi ng ? master, cpha = 0 figure g-30 qspi timi ng ? master, cpha = 1 qspi mast cpha0 data lsb in msb in msb out msb in msb out data lsb out port data pcs[3:0] output pd miso input mosi output sck cpol = 0 output sck cpol = 1 output 111 110 113 121 120 112 109 114 115 111 120 121 119 118 121 120 qspi mast cpha1 msb pcs[3:0] output miso input msb msb out data lsb out port data port data mosi output data lsb in msb in sck cpol = 0 output sck cpol = 1 output 111 110 113 120 121 109 112 109 115 112 120 121 114 119 118 121 120 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-56 figure g-31 qspi timing ? slave, cpha = 0 figure g-32 qspi timing ? slave, cpha = 1 data lsb out pd msb out msb in msb out msb in data lsb in ss input sck cpol = 0 input sck cpol = 1 input miso output mosi input qspi slv cpha0 111 110 121 120 113 112 109 111 120 121 116 119 118 119 117 114 115 121 qspi slv cpha1 ss input data slave lsb out pd msb out msb in data lsb in pd miso output sck cpol = 1 input mosi input sck cpol = 0 input 114 115 121 118 119 117 111 113 109 111 120 121 116 118 110 112 121 120 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-57 g.18 gpio electrical characteristics g.19 tpu3 electrical characteristics table g-18 gpio timing 1 (t a = t l to t h ) notes: 1. gpio applies to all pins used as gpio: sgpioa[8:31], sqpiod[0:31], sgpioc[0:7], qgpio[0:6], qgpo[1:2], mpio[0:15], a_pqa[0:7], b_pqa[0:7], a_pqb[0: 7] (inputs only), b_pqb[0:7] (inputs only). num rating symbol min max unit 122 rise time input output 2 (slr0 of pdmcr = 0), 50 pf to 200 pf load output 2 (slr0 of pdmcr = 0), up to 20 nf load 3 output (slr0 of pdmcr = 1), up to 50 pf load 2. this parameter is tested during initial characterization and is not tested in production. 3. care should be taken to insure that the total power dissipation of the device remain below the absolute maxi- mum rating under this condition. see table g-1 . with a capacitive load > 20 nf (up to 100 nf maximum), the user must insure that the pin is always configured as an output and set to slow slew rate mode (slr0 of pd- mcr = 0). do not change slr0 of pdmcr to a 1 under these conditions. t ri t ro ? 90 2000 3 1 600 7500 25 s ns ns ns 123 fall time input output 2 (slr0 of pdmcr = 0), 50 pf to 200 pf load output 2 (slr0 of pdmcr = 0), up to 20 nf load 3 output (slr0 of pdmcr = 1), up to 50 pf load t fi t fo ? 90 2000 3 1 600 7500 25 s ns ns ns table g-19 tpu3 timing (t a = t l to t h ) num rating symbol min max unit 124 slew rate of tpu output channel valid 1,2 (slr0 of pdmcr = 0, 50 pf to 200 pf load) (slr0 of pdmcr = 0, up to 20 nf load) 3 (slr0 of pdmcr = 1, up to 50 pf load) notes: 1. ac timing is shown with respect to 10% v ddh and 90% v ddh levels. total slew rate from 0 to v ddh will be larger. 2. timing not valid for external t2clk input. 3. care should be taken to insure that the total power dissipation of the device remain below the absolute maxi- mum rating under this condition. see table g-1 . with a capacitive load > 20 nf, the user must insure that the pin is always configured as an output. t chtov 92 2000 3 650 7550 25 ns 125 clkout high to tpu output channel hold t chtoh 015ns 126 tpu input channel pulse width 4 4. t cyc is defined as the imb clock period. t tipw 4? t cyc f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-58 figure g-33 tpu3 timing g.20 toucan electrical characteristics table g-20 toucan timing 1 (t a = t l to t h ) notes: 1. ac timing is shown is tested to the 5-v levels outlined in table g-4 . num rating symbol min max unit 127 cntx0 (delay from iclock) t cntx0 19 ? ns 128 cnrx0 (set-up to iclock rise) t cnrx0 0?ns 129 rise time input output up to 50 pf load, slrc bit of pdmcr = ?0? up to 200 pf load, slrc bit of pdmcr = ?0? up to 50 pf load, slrc bit of pdmcr = ?1? t ri t ro ? 10 20 2 1 50 100 25 s ns ns ns 130 fall time input output up to 50 pf load, slrc bit of pdmcr = ?0? up to 200 pf load, slrc bit of pdmcr = ?0? up to 50 pf load, slrc bit of pdmcr = ?1? t fi t fo ? 10 20 2 1 50 100 25 s ns ns ns serial pins t f ?1mhz clkout tpu output tpu input 125 126 124 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-59 g.21 mios timing characteristics all mios output pins are slew rate controlled. slew rate control circuitry adds 90 ns as minimum to the output timing and 650 ns as a maximum. this slew rate is from 10% v ddh to 90% v ddh , an additional 100 ns should be added for total 0 to v ddh slew rate. figure g-34 mcpsm enable to vs_pclk pulse timing diagram table g-21 mcpsm timing characteristics characteristic symbol delay unit mcpsm enable to vs_pclk pulse 1 notes: 1. the mcpsm clock prescaler value (mcpsmscr_psl[3:0]) should be written to the mcpsmscr (mcpsm sta- tus/control register) before rewriting the mcpsmscr to set the enable bit (mcpsmscr_pren). if this is not done the prescaler will start with the old value in the mcpsmscr_psl[3:0] before reloading the new value into the counter. vs_pclk is the mios prescaler clock which is distributed to all the counter (e.g., mpwmsm and mmcsm) submod- ules. t cpsmc (mcpsmscr_psl[3:0]) -1 2 2. after reset mcpsmscr_psl[3:0] is set to 0b0000. imb clock cycles f sys bit (pren) miob vs_pclk t cpsmc prescaler enable note 1: f sys is the internal system clock for the imb3 bus. note 2: the numbers associated with the f sys ticks refer to the imb3 internal state. note 3: vs_pclk is the mios prescaler clock which is distributed around the mios to counter modules such as the mmcsm and mpwmsm. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-60 g.21.1 mpwmsm timing characteristics . figure g-35 mpwmsm minimum ou tput pulse example timing diagram table g-22 mpwmsm ti ming characteristics (all delays are in imb clock periods) characteristic symbol min max pwmsm output resolution t pwmr ? 1 notes: 1. minimum output resolution depends on mpwmsm and mcpsm prescaler settings. 2.0 2 2. maximum resolution is obtained by setting cpsmpsl[3:0] =0x2 and mpwmscr_cp[7:0] =0xff. pwm output pulse 3 3. excluding the case where the output is always ?0?. t pwmo 2.0 ? mpwmi input pin to mpwmscr_pin status set t pin 12 cpsm enable to output set 4 4. with mpwmsm enabled before enabling the mcpsm. please also see note *1 on the mcpsm timing informa- tion. t pwmp (mpwmperr ? mpwmpulr+ 1) * (256 ? mpwmscr_cp) * mcpsmscr_psl +1 mpwmsm enable to output set (min) 5 5. the exact timing from mpwmsm enable to the pin being set depends on the timing of the register write and the mcpsm vs_pclk. t pwme (mpwmperr ? mpwmpulr) * (256 ? mpwmscr_cp) * mcpsmscr_psl +3 + (255 ? mpwmscr_cp) * mcpsmscr_psl 6 6. when mcpsmscr_psl = 0x0000, this gives a prescale value of 16 and it is 16 which should be used in these calculations. when mcpsmscr_psl = 0x0001, the cpsm is inactive. mpwmsm enable to output set (max) 5 t pwme t pwme (min) + mcpsmscr_psl ? 1 6 interrupt flag to output pin reset (period start) 7 7. note: the interrupt is set before the output pin is reset (signifying the start of a new period). t flgp (256 ? mpwmscr_cp) * mcpsmscr_psl ? 1 6 f sys mpwmo output pin t pwmo min f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-61 figure g-36 mcpsm enable to mpwmo output pin rising edge timing diagram figure g-37 mpwmsm enable to mpwmo output pin rising edge timing diagram f sys bit (pren) miob vs_pclk t pwmp prescaler enable 341 mpwmo output pin note: f sys is the internal imb clock for the imb3 bus. f sys bit t pwme mpwmscr enable 341 mpwmo output pin f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-62 figure g-38 mpwmsm interrupt flag to mpwmo output pin falling edge timing diagram g.21.2 mmcsm timing characteristics table g-23 mmcsm timing characteristics (all delays are in imb clock periods.) characteristic symbol min max mmcsm input pin period t pper 4? mmcsm pin low time t plo 2? mmcsm pin high time t phi 2? clock pin to counter bus increment t pccb 12 load pin to new counter bus value t plcb 12 clock pin to pinc delay t pinc 12 load pin to pinl delay t pinl 12 counter bus resolution t cbr ? 1 notes: 1. minimum output resolution depends on mmcsm and mcpsm prescaler settings. 2 2 2. maximum resolution is obtained by setting cpsmpsl[3:0] = 0x2 and mmcsmscr_cp[7:0] = 0xff. counter bus overflow reload to interrupt flag t cbflg 1 mcpsm enable to counter bus increment t mcmp (256 ? mmcsmscr_cp) * mcpsmscr_psl + 2 3 3. when mcpsmscr_psl = 0x0000, this gives a prescale value of 16 and it is 16 which should be used in these calculations. when mcpsmscr_psl = 0x0001, the cpsm is inactive. mmcsm enable to counter bus increment (min) 4 4. the exact timing from mmcsm enable to the pin being set depends on the timing of the mmcsmscr register write and the mcpsm vs_pclk. the mmcsm enable is taken to mean the mmcsmscr_cls[1:0] being written to 2?b11. t mcme 4 + mcpsmscr_psl* (255 ? mmcsmscr_cp) 3 mmcsm enable to counter bus increment (max) 4 t mcme 4 + mcpsmscr_psl * (255 ? mmcsmscr_cp) + (mcpsmscr_psl ? 1) 3 f sys t flgp mpsmo pin output mpwmsm interrupt flag f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-63 figure g-39 mmcsm minimum input pin (either load or clock) timing diagram figure g-40 mmcsm clock pin to counter bus increment timing diagram figure g-41 mmcsm load pin to c ounter bus reload timing diagram f sys mmcsm pin t phi min t plo min t pper min f sys mmcsm clock pin t pccb counter bus[15:0] a a+1 note: f sys is the internal imb clock for the imb3 bus. f sys mmcsm load pin t plcb counter bus[15:0] a b f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-64 figure g-42 mmcsm counter bus reload to interrupt flag setting timing diagram figure g-43 mmcsm prescaler cloc k select to counter bus increment timing diagram f sys mmcsm interrupt flag t cbflg counter bus[15:0] ffff 5afe fffe mmcsmml[15:0] 5afe f sys mmcsmscr_cls[1:0] t mcme counter bus[15:0] a a+1 34 2 11 00 11 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-65 g.21.3 mdasm timing characteristics figure g-44 mdasm minimum input pin timing diagram table g-24 mdasm ti ming characteristics (all delays are in imb clock periods.) characteristic symbol min max input modes: (ipwm, ipm, ic, dis) mdasm input pin period t pper 4? mdasm pin low time t plo 2? mdasm pin high time t phi 2? input capture resolution t capr ?2 input pin to counter bus capture delay t pcap 1 3 1 notes: 1. if the counter bus capture occurs when the counter bus is changing then the capture is delayed one cycle. in situations where the counter bus is stable when the input capture occurs the t pcap has a maximum delay of 2 cycles. (the 1 cycle uncertainty is due to the synchronizer). input pin to interrupt flag delay t pflg 23 input pin to pin delay t pin 12 counter bus resolution t cbr ? 2 2 2. maximum resolution is obtained by setting cpsmpsl[3:0] = 0x2 and mdasmscr_cp[7:0] = 0xff. output modes: (oc, opwm) output pulse width 3 3. maximum output resolution and pulse width depends on counter (e.g., mmcsm) and mcpsm prescaler set- tings. t pulw 2? compare resolution t comr ? 2 2 counter bus to pin change t cbp 3 counter bus to interrupt flag set. t cbflg 3 f sys mdai input pin t phi min t plo min t pper min f sys is the internal imb clock for the imb3 bus. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-66 figure g-45 mdasm input pin to counter bus capture timing diagram figure g-46 mdasm input pin to mdasm interrupt flag timing diagram figure g-47 mdasm minimum output pulse width timing diagram f sys mdai input pin t pcap counter bus[15:0] a mdasmar[15:0] xxxx a f sys mdai input pin t pflg mdasm interrupt flag f sys mdao output pin t pulw min f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-67 figure g-48 counter bus to mdasm output pin change timing diagram figure g-49 counter bus to mdasm interrupt flag setting timing diagram f sys mdao output pin t cbp counter bus[15:0] 5afd 5afe 5afc mdasmar[15:0] 5afe f sys mdasm interrupt flag t cbflg counter bus[15:0] 5afd 5afe 5afc mdasmar[15:0] 5afe f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 electrical characteristics motorola user?s manual rev. 15 october 2000 g-68 g.21.4 mpiosm timing characteristics figure g-50 mpiosm input pin to mpiosm_dr (data register) timing diagram table g-25 mpiosm timing characteristics (all delays are in imb clock periods.) characteristic symbol min max input mode mpiosm input pin period t pper ? 1 notes: 1. the minimum input pin period, pin low and pin high times depend on the rate at which the mpiosm_dr reg- ister is polled. ? mpiosm pin low time t plo ? 1 ? mpiosm pin high time t phi ? 1 ? input pin to mpiosm_dr delay t pdr 01 output mode output pulse width 2 2. the minimum output pulse width depends on how quickly the cpu updates the value inside the miopsm_dr register. the MPC555 rcpu core takes six clock cycles to access the miopsm_dr register, therefore the minimum output pulse will be 12 imb clocks. t pulw ? 2 ? f sys mpiosm input pins t pdr mpiosm_dr ffa5 005a ffa5 005a f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only motorola user?s manual rev. 15 october 2000 h-1 appendix h flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only h.1 electrical characteristics table h-1 program and erase characteristics (v ddf = 3.3 v 0.3 v, v pp = 4.75 v to 5.25 v, t a = t l to t h ) symbol meaning value units minimum typical maximum e pulse number of erase pulses 11 1 t erase erase pulse time 0.9 1 1.1 s p pulse(4.75 vpp) number of program pulses @v pp = 4.75 ? 1200 1 notes: 1. the typical number of pulses at v pp = 4.75 v and t a = 25c. 3500 2,3,5 2. the worst case programming time occurs at v pp = 4.75 v and t a = -40 c. 3. this value is based on initial device characterization and is not tested in production. pulses p pulse(5.0 vpp) number of program pulses @v pp = 5.00 ? 300 4 4. the typical number of pulses is at v pp = 5.00 v and t a = 25c. 1000 5 5. assumes pulse width = 25.6 s. pulses p pulse (5.25 vpp) number of program pulses 6 @v pp = 5.25 6. the best case (fastest) programming time of < 50 pulses is at v pp = 5.25 v and t a = 125c. ? 125 7 7. the typical number of pulses is at v pp = 5.25 v and t a = 25c. 500 5 pulses t prog program pulse time 21.2 25.6 32.0 s c pulse(4.75 vpp) number of censor clear pulses @v pp = 4.75 11 3 8 8. after characterization this value may be improved. pulses c pulse(5.0 vpp) number of censor clear pulses @v pp = 5.00 11 3 8 pulses c pulse(5.25 vpp) number of censor clear pulses @v pp = 5.25 11 3 8 pulses t clear censor clear pulse time 0.9 8 1 8 1.1 8 s s pulse(4.75 vpp) number of censor set pulses @v pp = 4.75 11 3 8 pulses s pulse(5.0 vpp) number of censor set pulses @v pp = 5.00 11 3 8 pulses s pulse(5.25 vpp) number of censor set pulses @v pp = 5.25 11 3 8 pulses t set censor set pulse time 0.9 8 1 8 1.1 8 s f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only motorola user?s manual rev. 15 october 2000 h-2 figure h-1 typical program time vs. v pp and temperature (for cdr1 ?target? process) table h-2 cmf ac and dc powe r supply characteristics symbol meaning min. value max value unit v ddf operating voltage read, program or erase 3.0 3.6 v v i ddf operating current at 40.0mhz, v ddf = 3.3 v for a 256k-byte module read, program or erase operation disabled ? ? 22 5 ma v pp external program or erase voltage read program or erase v ddf -0.35 4.75 5.5 5.25 v i ddpp external program and erase current 1 read, v pp = 5 v program, v pp = 5.25 v erase, v pp = 5.25 v notes: 1. average current is less than 30 ma when programming both modules simultaneously. <100 1 30 1 30 1 a 1 ma 1 ma 1 program time vs v pp & temperatur e 232 5 44 7 14 0 118 0 27 0 8 1 43 8 11 7 46 1 10 100 1 000 10000 4.7 4.8 4.9 5 5.1 5.2 5.3 (v) estimated # pulses required to program (25 s pulse width ) temp = -40 c temp = 25 c temp = 150 c v pp f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only motorola user?s manual rev. 15 october 2000 h-3 h.1.1 flash module life h.2 programming a nd erase algorithm table h-3 flash module life symbol meaning value p/e cycles 1 notes: 1. target failure rate at specified number of program/erase cycles of 2ppm pending characterization of production silicon. maximum number of program/ erase cycles 2 to guarantee data retention 2. a program/erase cycle is defined as switching the bits from 1 ? 0 ? 1. 100 3,4 3. reprogramming of a cmf array block prior to erase is not required. 4. number of program/erase cycles to be adjusted pending characterization of production silicon. retention data retention at average operating temperature of 85 c minimum 10 years table h-4 cmf progra mming algorithm (v5) no. of pulses (maximum) pulse width nvr paws gdb paws mode description 3500 25.6 s x 000 x normal table h-5 cmf eras e algorithm (v5) no. of pulses (maximum) pulse width nvr paws gdb paws mode description 10 1 s x 111 x mode 7p positive drain ramp f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 flash electrical characteristics for all j76n mask sets and 0k02a and 1k02a only motorola user?s manual rev. 15 october 2000 h-4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-1 index ?a? a(0 31), 9-4 ackerr 16-31 acknowledge error (ackerr) 16-31 address -mark wakeup 14-58 space 13-7 address type (at0-at3), 9-37 ale 21-54 alee 21-56 alignment exception, 3-46 alu?bfu 3-5 an 13-3 , 13-5 analog front-end multiplexer 13-14 input multiplexed 13-5 port a 13-3 port b 13-4 section contents 13-1 submodule block diagram 13-12 supply pins 13-5 arbitration, 9-30 at(0 3), 9-4 atomic update primitives, 3-42 atomic, 9-31 ?b? bar 3-52 base id mask bits 16-30 baud clock 14-51 bb, 9-7 bdip, 9-5 be bit 3-21 beginning of queue 2 (bq2) 13-39 bg, 9-7 bi, 9-6 binary divider 13-25 -weighted capacitors 13-14 bit stuff error (stufferr) 16-31 biterr 16-31 bits 14-17 bits per transfer enable (bitse) 14-23 field (bits) 14-17 bitse 14-23 , 14-38 bit-time 14-50 bkpt (tpu asserted) 17-14 blc 17-13 boffint 16-31 boundary conditions 13-17 boundary scan cells 22-1 descriptor language 22-7 register 22-1 bpu 3-5 bq2 13-17 , 13-39 br, 9-7 branch prediction 3-5 processing unit 3-5 trace enable 3-21 branch latch control (blc) 17-13 branch processing unit 3-5 break frame 14-51 breakpoint asserted flag (bkpt) 17-14 flag (pcbk) 17-14 breakpoint counter a value and control register 21-52 breakpoint counter b value and control register 21-53 brknomsk 21-51 bsc 22-1 bsr 22-1 burst indicator (burst), 9-36 burst inhibit (bi), 9-39 burst read cycle (illustration), 9-18 burst transfer, 9-15 burst write cycle (illustration), 9-23 burst, 9-4 bus monitor 6-12 off interrupt (boffint) 16-31 bus busy (bb), 9-32 bus exception control cycles, 9-43 bus grant (bg), 9-32 bus interface bus control signals, 9-2 bus operation address transfer phase related signals, 9-35 arbitration phase, 9-30 basic transfer protocol, 9-8 burst mechanism, 9-16 burst transfer, 9-15 bus exception control cycles, 9-43 single beat transfer single beat read flow, 9-8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-2 single beat write flow, 9-8 , 9-11 single beat transfer, 9-8 storage reservation, 9-40 termination signals, 9-38 bus operations, 9-7 bus transfer signals, 9-1 features, 9-1 signal descriptions, 9-3 bus request (br), 9-31 bus signals (illustration), 9-3 busy 16-5 , 16-15 byp 13-13 , 13-47 bypass mode 13-13 bytes field 3-18 ?c? c bit 3-14 ca bit 3-18 cache control instructions, 3-42 can2.0b system 16-3 canctrl0 16-25 canctrl1 16-26 canctrl2 16-28 canicr 16-24 carry 3-18 ccl 17-13 ccw 13-1 , 13-46 cf1 13-41 cf2 13-41 cfsr 17-15 cgbmsk 21-50 ch 17-15 , 17-18 , 17-19 chan 13-47 channel 17-16 channel assignments multiplexed 13-48 nonmultiplexed 13-48 conditions latch (ccl) 17-13 interrupt enable /disable field (ch) 17-15 request level (cirl) 17-15 status (ch) 17-19 invalid 13-47 number (chan) 13-47 orthogonality 17-3 priority registers 17-18 register breakpoint flag (chbk) 17-14 reserved 13-47 chbk 17-14 chbmsk 21-50 checkstop state, 3-45 chstp bit 21-54 chstpe 21-55 cie1 13-37 cie2 13-39 cier 17-15 , 17-19 cirl 17-15 cisr 17-8 , 17-19 class, instruction, 3-38 clkout to ta, bi assertion (when driven by the memory controller) g-18 clkout, 9-7 clks 17-13 clock block diagram 13-26 frequency 13-26 generation 13-24 phase (cpha) 14-17 polarity (cpol) 14-17 cmpa?cmpd 21-45 cmpe?cmpf 21-46 cmpg?cmph 21-46 cnrx/tx pins 16-2 cntc 21-52 cntv 21-52 code 16-5 coherency 13-6 , 13-22 , 17-4 comm d-18 command ram 14-22 word pointer (cwp) 13-42 comparator 13-14 comparator a?d value registers 21-45 comparator e?f value registers 21-46 comparator g?h value registers 21-46 compare instructions 3-17 compare size 21-50 compare type 21-48 , 21-50 completed queue pointer (cptqp) 14-21 condition register 3-15 , 3-17 cont 14-23 contention, 9-36 continue (cont) 14-23 continuous transfer mode 14-15 control registers 1 (qacr1) 13-36 2 (qacr2) 13-38 controlling termination of a bus cycle for a bus error, 9-43 conversion command word table (ccw) 13-1 , 13-14 cycle times 13-12 stages 13-45 count register 3-19 counta 21-52 countb 21-53 cpha 14-17 , 14-34 cpol 14-17 , 14-34 cpr 17-18 cptqp 14-21 , 14-24 cr 3-5 , 3-15 , 3-19 and compare instructions 3-17 cr, 9-5 cr0 field 3-16 cr1 field 3-16 crcerr 16-31 crwe 21-50 crwf 21-50 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-3 csg 21-50 csh 21-50 cta 21-48 ctb 21-48 ctc 21-48 ctd 21-48 cte 21-50 ctf 21-50 ctg 21-50 cth 21-50 ctr 3-5 cwp 13-42 cyclic redundancy check error (crcerr) 16-31 ?d? d(0 31), 9-6 d0 20-3 dac 13-1 dae/source instruction service register 3-22 dar 3-22 , 3-46 , 3-51 , 3-52 dar, 3-46 , 3-51 data field for rx/tx frames (toucan) 16-5 frame 14-50 data address register 3-22 data space only 20-3 data storage interrupt, 3-45 dcnr 17-19 ddrqa 13-33 , 13-35 ddrqs 14-9 , 14-33 , 14-37 debug enable register 21-55 debug mode disable, 3-45 dec 3-23 dece 21-54 decee 21-56 decrementer register 3-23 delay after transfer (dt) 14-23 , 14-35 before sck (dsckl) 14-18 der 21-55 development port trap enable selection 21-48 digital control section contents 13-1 , 13-14 ? 13-29 input /output port (pqa) 13-3 port (pqb) 13-4 to analog converter (dac) 13-14 dio d-38 dis 20-3 disable tpu2 pins field (dtpu) 17-20 disabled mode 13-18 discrete input/output (dio) d-38 div2 17-20 div8 clock 17-7 divide by two control field (div2) 17-20 diw0en 21-48 diw1en 21-48 diw2en 21-48 diw3en 21-48 dlw0en 21-52 dlw1en 21-52 double -buffered 14-52 , 14-56 dpi 21-55 dptram 18-4 dsck 14-23 dsckl 14-18 dscr 17-12 dsisr 3-22 , 3-46 , 3-51 , 3-52 dssr 17-14 dt 14-23 dtl 14-18 dtpu 17-20 ?e? ea 3-33 ebrk 21-55 ecr 21-53 ee bit 3-21 , 3-26 effective address 3-33 eid 3-26 eie 3-26 eieio, 3-43 ele bit 3-21 empty 16-5 emu 17-4 , 17-11 emulation control (emu) 17-11 support 17-4 encoded one of three channel priority levels (ch) 17-18 time function for each channel (channel) 17-16 type of host service (ch) 17-18 ending queue pointer (endqp) 14-19 end-of- frame (eof) 16-16 end-of-queue condition 13-45 endqp 14-19 , 14-24 entry table bank select field (etbank) 17-20 eof 16-16 eoq 13-17 ep bit 3-21 errint 16-32 error conditions 14-56 counters 16-9 interrupt (errint) 16-32 estat 16-30 etbank 17-20 etrig 13-4 event timing 17-3 exception cause register 21-53 exception prefix 3-21 exceptions 3-34 classes 3-34 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-4 little endian mode 3-21 ordered 3-34 precise 3-35 unordered 3-34 vector table 3-35 , 3-36 execution units 3-4 extended message format 16-1 frames 16-5 external digital supply pin 13-5 interrupt disable 3-26 enable 3-26 multiplexing 13-9 trigger pins 13-4 trigger single-scan mode 13-20 external interrupt enable 3-21 , 3-26 externally multiplexed mode (mux) 13-36 extest 22-5 exti 21-54 extie 21-56 ?f? fast quadrature decode tpu function (fqd) d-29 fault confinement state (fcs) 16-10 , 16-31 fcs 16-10 , 16-31 fe 14-49 , 14-56 fe bits 3-21 , 3-22 fe flag 3-14 features bus interface, 9-1 fetch serialized 21-1 fex bit 3-14 fg bit 3-14 fi bit 3-14 final sample time 13-12 fl bit 3-14 floating-point available 3-21 condition code 3-14 enabled exception summary 3-14 equal or zero 3-14 exception mode 3-21 , 3-22 exception summary 3-14 fraction inexact 3-14 fraction rounded 3-14 greater than or positive 3-14 inexact exception 3-14 enable 3-15 invalid operation exception enable 3-15 for *0 3-14 for / 3-14 for - 3-14 for 0/0 3-14 for invalid compare 3-14 for invalid integer convert 3-15 for invalid square root 3-15 for snan 3-14 for software request 3-15 summary 3-14 less than or negative 3-14 overflow exception 3-14 enable 3-15 registers 3-12 result class descriptor 3-14 result flags 3-14 rounding control 3-15 status and control register 3-12 underflow exception 3-14 unit 3-5 unordered or nan 3-14 zero divide exception 3-14 enable 3-15 floating-point unavailable interrupt, 3-47 formerr 16-31 fp bit 3-21 fpcc bit 3-14 fprf field 3-14 fprs 3-12 fpsck 17-20 fpscr 3-12 fpu 3-5 fpuve 21-54 fpuvee 21-56 f qclk 13-25 fqd d-29 fqm d-11 fr 3-14 frame 14-50 size 14-57 frames overload 16-16 remote 16-15 framing error (fe) flag 14-49 , 14-56 freez ack 16-17 freeze assertion response (frz) qadc 13-6 , 13-33 qsm 14-5 tpu 17-13 freeze (internal signal) 13-46 frequency measurement (fqm) d-11 frz 13-7 , 13-33 , 16-11 , 17-13 frzack 16-11 fu bit 3-14 full 16-5 function library for tpu 17-4 fx bit 3-14 ?g? general sprs 3-25 general-purpose registers (gprs) 3-12 global registers 13-32 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-5 ?h? hall effect decode (halld) d-20 halld d-20 halt 14-20 , 16-11 halt acknowledge flag (halta) 14-21 qspi (halt) 14-20 halta 14-21 halta and modf interrupt enable (hmie) 14-41 halta/modf interrupt enable (hmie) bit 14-20 hang on t4 (hot4) 17-13 hmie 14-20 hot4 17-13 hsqr 17-16 hssr 17-17 ?i? i/o port operation 13-7 ibrk 21-55 i-bus watchpoint programming 21-48 i-bus support control register 21-47 ictrl 21-47 id extended (ide) field 16-6 high field 16-6 low field 16-6 ide 16-6 identifier (id) 16-1 bit field 16-6 idle 14-48 , 14-57 , 16-31 idle can status (idle) 16-31 frame 14-50 -line detect type (ilt) 14-46 detected (idle) 14-48 , 14-57 detection process 14-57 interrupt enable (ilie) 14-46 , 14-57 type (ilt) bit 14-57 ieee 1149.1-1990 standard. see jtag iflag 16-33 ignore first match 21-48 iifm 21-48 ilie 14-46 , 14-57 illegal and reserved instructions, 3-38 ilsci 14-8 , 14-9 ilt 14-46 , 14-57 imask 16-32 imb 13-1 , 13-24 implementation dependent software emulation interrupt, 3-48 implementation specific data tlb error interrupt, 3-50 implementation specific debug interrupt, 3-51 implementation specific instruction tlb error interrupt, 3-49 imul?idiv 3-5 information processing time (ipt) 16-9 initial sample time 13-12 input sample time (ist) 13-28 , 13-47 instruction pipeline 3-36 sequencer 3-3 set summary 3-29 timing 3-36 instruction fetch show cycle control 21-1 instruction storage interrupt, 3-46 instructions cache control, 3-42 storage control, 3-44 instructions, partially executed, 3-52 integer exception register 3-17 integer unit 3-5 interchannel communication 17-4 intermission 16-16 intermodule bus (imb) 13-1 interrupt sources 13-30 interrupt level of sci (ilsci) 14-8 , 14-9 interrupts qadc 13-29 toucan 16-19 tpu 17-5 interrupts, 3-44 inter-transfer delay 14-14 invalid and preferred instructions, 3-39 invalid channel number 13-47 ipt 16-9 irq 17-5 isctl 21-1 ist 13-28 , 13-47 isync, 3-42 iu 3-5 iw 21-48 ?j? joint test action group. see jtag jtag 22-1 instruction register 22-4 non-ieee 1149.1-1990 operation 22-7 signals 22-1 , 22-2 ?k? kr/retry, 9-5 ?l? lbrk 21-55 lbuf 16-27 l-bus support control register 1 21-49 control register 2 21-50 lck 20-3 lctrl1 21-49 lctrl2 21-50 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-6 le bit 3-22 least significant bit (lsb) 13-14 left justified signed result word table (ljsrr) 13-49 unsigned result word table (ljurr) 13-49 length of delay after transfer (dtl) 14-18 link register 3-18 little endian mode 3-22 ljsrr 13-49 ljurr 13-49 load/store unit 3-5 , 3-6 lock /release/busy mechanism 16-15 loop mode (loops) 14-46 loopq 14-20 loops 14-46 low power stop (lpstop) qadc 13-6 qsm 14-5 lowest buffer transmitted first (lbuf) 16-27 low-power stop mode enable (stop) qadc 13-33 tpu 17-11 lr 3-5 , 3-18 , 17-19 lsb 13-14 lsu 3-5 , 3-6 lw0en 21-51 lw0ia 21-51 lw0iadc 21-51 lw0la 21-51 lw0ladc 21-51 lw0ld 21-51 lw0lddc 21-51 lw1en 21-51 lw1ia 21-51 lw1iadc 21-51 lw1la 21-51 lw1ladc 21-51 lw1ld 21-51 lw1lddc 21-51 ?m? m 14-46 , 14-51 machine check enable 3-21 state register 3-20 status save/restore register 0 3-24 status save/restore register 1 3-24 machine check interrupt, 3-45 machine status save/restore register 1 3-24 mask examples for normal/extended messages 16-8 registers (rx) 16-7 master /slave mode select (mstr) 14-17 master external arbitration phase, 9-30 mce 21-54 mcee 21-55 mcpwm d-22 me bit 3-21 message buffer address map 16-22 code for rx/tx buffers 16-5 deactivation 16-13 structure 16-3 format error (formerr) 16-31 mid-analog supply voltage 13-14 miso 14-33 , 14-37 mode fault flag (modf) 14-21 , 14-26 select (m) 14-46 mode fault flag (modf) 14-41 modes disabled 13-18 reserved 13-18 scan. see scan modes modf 14-21 , 14-26 , 14-41 modulus counter 14-51 mosi 14-33 , 14-37 most significant bit (msb) 13-14 mq1 13-37 mq2 13-39 msb 13-14 msr 3-20 , 3-45 , 3-47 , 3-48 , 3-49 , 3-50 , 3-51 , 3-52 msr, 3-44 mstr 14-17 multichannel pulse-width modulation (mcpwm) d-22 parameters slave channel a non-inverted center aligned mode d-25 , d-41 slave edge-aligned mode d-24 multimaster operation 14-26 multiphase motor commutation (comm) d-18 parameters d-19 multiple end-of-queue 13-17 multiplexed analog inputs 13-5 mux 13-8 , 13-36 ?n? new queue pointer value (newqp) 14-19 new input capture/transistion counter (nitc) d-16 parameters d-17 newqp 14-19 , 14-24 nf 14-49 , 14-56 ni bit 3-15 nitc d-16 noise error flag (nf) 14-49 errors 14-56 flag (nf) 14-56 non-ieee 1149.1-1990 operation 22-7 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-7 non-ieee floating-point operation 3-15 nonoptional instructions, 3-38 non-recoverable interrupt 3-26 not active 16-5 not ready (notrdy) 16-20 notrdy 16-16 , 16-20 nri 3-26 ?o? oc d-34 oe bit 3-15 op0, 9-28 op1, 9-28 op2, 9-28 op3, 9-28 operand placement (effects), 3-42 operand representation (illustration), 9-28 optional instructions, 3-38 or 14-48 ordered exceptions 3-34 output compare (oc) d-34 ov (overflow) bit 3-18 overload frames 16-16 overrun 16-5 overrun error (or) 14-48 ox bit 3-14 ?p? p 13-47 parity (pf) flag 14-56 checking 14-52 enable (pe) 14-46 error (pf) bit 14-49 errors 14-56 type (pt) 14-46 type (pt) bit 14-52 pause (p) 13-15 , 13-47 pcbk 17-14 pcs 14-23 to sck delay (dsck) 14-23 pcs0/ss 14-38 pcs3-pcs0/ss 14-41 pe 14-46 period /pulse-width accumulator (ppwa) d-32 periodic /interval timer 13-29 periodic interrupt timer 6-14 peripheral chip-selects (pcs) 14-23 , 14-36 peripheral chip-select 3-0/slave select (pcs3-pc- so/ss) 14-41 pf 14-49 , 14-56 pf1 13-41 pf2 13-41 phase buffer segment 1/2 (pseg1/2) bit field 16-28 phase-lock loop, 9-7 pie1 13-37 pie2 13-39 pit 6-14 pll, 9-7 pointer 14-15 port size device interfaces (illustration), 9-29 port width, 9-1 portqa 13-33 , 13-34 portqb 13-33 , 13-34 portqs 14-10 powerpc standards powerpc operating environment architecture (book 3) branch processor, 3-43 fixed-point processor special purpose registers, 3-43 fixed-point processor, 3-43 interrupts, 3-44 optional facilities and instructions, 3-53 storage control instructions, 3-44 timer facilities, 3-53 powerpc operating environment architecture (book 3), 3-43 powerpc user instruction set architecture (book 1) branch instructions, 3-39 branch processor, 3-39 computation modes, 3-38 exceptions, 3-39 fixed point-processor, 3-39 instruction classes, 3-38 load/store processor, 3-40 reserved fields, 3-38 powerpc user instruction set architecture (book 1), 3-38 powerpc virtual environment architecture (book 2) operand placement effects, 3-42 storage control instructions, 3-42 timebase, 3-43 powerpc virtual environment architecture (book 2), 3-42 powerpc user instruction set architecture book 1 instruction fetching, 3-39 ppwa d-32 pqa 13-8 pqb 13-4 , 13-8 pqspar 14-9 , 14-33 , 14-37 pr bit 3-7 , 3-21 pre 21-54 precise exceptions 3-35 pree 21-56 prescaler 13-26 clock (psck) 17-11 high time (psh) 13-36 low time (psl) 13-36 clock high time (psh) 13-26 control for tcr1 17-5 for tcr2 17-7 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-8 divide factor field 16-28 register (presdiv) 16-8 , 16-27 presdiv (bit field) 16-28 presdiv (register) 16-8 , 16-9 , 16-27 privilege level 3-7 , 3-21 processor version register 3-25 programmable channel service priority 17-4 transfer length 14-14 programmable time accumulator (pta) d-4 parameters d-5 , d-44 , d-46 propagation segment time (propseg) 16-27 propseg 16-11 , 16-27 psck 17-11 pseg1 16-28 pseg2 16-9 , 16-11 , 16-28 psegs1 16-11 psh 13-26 , 13-36 psl 13-36 pt 14-46 , 14-52 pta d-4 ptr, 9-4 , 9-37 pulse-width modulation (pwm) d-36 parameters d-37 pvr 3-25 pwm d-36 ?q? qacr0 13-35 qacr1 13-36 qacr2 13-38 , 13-39 qadc features 13-2 pin functions diagram 13-2 registers control register 0 (qacr0) 13-35 control register 1 (qacr1) 13-36 control register 2 (qacr2) 13-39 conversion command word table (ccw) 13-46 interrupt register (qadcint) 13-32 , 13-33 module configuration register (qadcmcr) 13-6 , 13-32 , 13-33 port a data register (portqa) 13-33 b data register (portqb) 13-33 data direction register (ddrqa) 13-33 qa data direction register (ddrqa) 13-35 qa data register (portqa) 13-34 qb data register (portqb) 13-34 status register (qasr) 13-41 , 13-42 test register (qadctest) 13-32 , 13-33 qadcint 13-32 , 13-33 qadcmcr 13-6 , 13-32 , 13-33 qadctest 13-32 , 13-33 qasr 13-40 qasr0 13-41 qasr1 13-42 qclk 13-21 , 13-24 frequency 13-25 qddr 14-12 , 14-41 qilr 14-8 qom d-6 qpar 14-11 qpdr 14-10 , 14-41 qs 13-42 qsm pin function 14-10 qspi 14-13 operating modes 14-26 operation 14-24 ram 14-21 registers pin control registers 14-9 port qs data direction register (ddrqs) 14-9 data register (portqs) 14-10 qspi control register 0 (spcr0) 14-16 control register 1 (spcr1) 14-18 control register 2 (spcr2) 14-18 control register 3 (spcr3) 14-19 status register (spsr) 14-19 sci control register 0 (sccr0) 14-45 control register 1 (sccr1) 14-45 data register (scdr) 14-49 status register (scsr) 14-47 sci 14-41 operation 14-50 pins 14-50 registers 14-44 qsm data direction register (qddr) 14-12 , 14-41 qsm interrupt level register (qilr) 14-8 qsm pin assignment register (qpar) 14-11 qsm port data register (qpdr) 14-10 , 14-41 qspi 14-13 block diagram 14-14 enable (spe) 14-18 finished flag (spif) 14-21 initialization operation 14-27 loop mode (loopq) 14-20 master operation flow 14-28 operating modes 14-26 master mode 14-26 , 14-33 wraparound mode 14-37 slave mode 14-26 , 14-37 operation 14-24 peripheral chip-selects 14-36 ram 14-21 , 14-22 command ram 14-22 receive ram 14-22 transmit ram 14-22 qspi enable (spe) 14-41 qspi status register (spsr) 14-41 queue 13-14 pointers completed queue pointer (cptqp) 14-24 end queue pointer (endqp) 14-24 new queue pointer (newqp) 14-24 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-9 status (qs) 13-42 queue 1 completion flag (cf1) 13-41 interrupt enable (cie1) 13-37 operating mode (mq1) 13-37 pause flag (pf1) 13-41 interrupt enable (pie1) 13-37 single-scan enable (sse1) 13-37 trigger overrun (tor1) 13-41 queue 2 completion flag (cf2) 13-41 interrupt enable (cie2) 13-39 operating mode (mq2) 13-39 pause flag (pf2) 13-41 interrupt enable (pie2) 13-39 resume (res) 13-39 single-scan enable bit (sse2) 13-39 trigger overrun (tor2) 13-41 queued analog-to-digital converter. see qadc 13-1 serial peripheral interface (qspi) 14-13 queued output match tpu function (qom) d-6 ?r? r0 20-3 raf 14-48 rd/wr, 9-4 rdrf 14-48 , 14-56 re 14-44 , 14-46 , 14-56 re bit 3-22 , 3-26 read cycle, data bus requirements, 9-30 read only, sram 20-3 read/write (rd/wr), 9-36 receive data register full (rdrf) 14-48 error status flag (rxwarn) 16-31 ram 14-22 time sample clock (rt) 14-52 , 14-56 receiver active (raf) 14-48 data register (rdrf) flag 14-56 enable (re) 14-46 , 14-56 interrupt enable (rie) 14-46 wakeup (rwu) 14-47 , 14-58 receiver enable (re) 14-44 reception of transmitted frames 16-13 recoverable exception 3-22 , 3-26 registers cmpa?cmpd 21-45 cmpe?cmpf 21-46 cmpg?cmph 21-46 counta 21-52 countb 21-53 der 21-55 ecr 21-53 ictrl 21-47 lctrl1 21-49 lctrl2 21-50 mi_ra 0-3 region attribute register 4-21 supervisor level 3-20 test (ramtst) 18-4 user level 3-11 registers special purpose added registers, 3-44 unsupported registers, 3-43 special purpose, 3-43 remote frames 16-15 transmission request (rtr) 16-5 , 16-6 res 13-39 reservation protocol for a multi-level (local) bus, 9-41 reserved channel number 13-47 mode 13-18 reset status register 7-5 resistor-divider chain 13-14 resolution time 13-12 result word table 13-1 , 13-15 , 13-49 resynchronization jump width (rjw) bit field 16-28 retry, 9-43 rie 14-46 right justified, unsigned result word table (rjurr) 13-49 rjurr 13-49 rjw 16-11 , 16-28 rn field 3-15 rsr 7-5 rsv, 9-37 rt 14-56 rtr 16-5 , 16-6 , 16-15 rwu 14-47 , 14-58 rx length 16-5 rx14mskhi 16-30 rx14msklo 16-30 rx15mskhi 16-30 rx15msklo 16-30 rxectr 16-33 rxgmskhi 16-29 rxgmsklo 16-29 rxwarn 16-31 ?s? s0 14-8 , 20-3 samp 16-27 sample amplifier bypass (byp) 13-47 sampling mode (samp) 16-27 sar 13-14 sbk 14-47 , 14-53 scan modes single-scan modes external trigger 13-20 scbr 14-45 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-10 sccr0 14-45 sccr1 14-45 scdr 14-49 sci 14-33 , 14-41 baud clock 14-51 rate (scbr) 14-45 equation 14-45 idle-line detection 14-57 internal loop 14-58 operation 14-50 parity checking 14-52 pins 14-50 receiver block diagram 14-43 operation 14-56 wakeup 14-58 registers 14-44 sccr0 14-44 sccr1 14-44 sci baud rates 14-52 sci submodule 14-12 scsr 14-44 transmitter block diagram 14-42 operation 14-52 sci control register 0 (sccr0) 14-44 sci control register 1 (sccr1) 14-44 sci status register (scsr) 14-44 sck 14-11 , 14-32 , 14-37 actual delay before sck (equation) 14-35 baud rate (equation) 14-34 s-clock 16-9 scsr 14-47 se bit 3-21 see 21-54 send break (sbk) 14-47 , 14-53 sequencer, instruction 3-3 serial clock baud rate (spbr) 14-17 communication interface (sci) 14-41 formats 14-51 mode (m) bit 14-51 shifter 14-52 serial clock (sck) 14-11 serialization fetch 21-1 service request breakpoint flag (srbk) 17-14 sglr 17-19 simplified mnemonics 3-33 single-step trace enable 3-21 siu module configuration register 6-18 siu signals, 9-4 siumcr 6-18 siw0en 21-48 siw1en 21-48 siw2en 21-48 siw3en 21-48 slave select (ss) 14-41 slave select signal (ss) 14-37 , 14-38 slw0en 21-52 slw1en 21-52 snooping external bus activity, 3-42 so bit 3-18 sof 16-9 soft reset control field (soft_rst) 17-20 soft_rst 17-20 softrst 16-11 software trap enable selection 21-48 spbr 14-17 spcr0 14-16 spcr1 14-18 spcr2 14-18 spcr3 14-19 spe 14-18 , 14-41 special-purpose registers, general 3-25 spi finished interrupt enable (spifie) 14-19 tsbd 14-8 spi test scan path select (tsbd) 14-8 spif 14-21 spifie 14-19 sprg0?sprg3 3-25 sprgs 3-25 sprs general 3-25 spsr 14-19 , 14-41 spwm d-40 sram data space only 20-3 disabling 20-3 locking 20-3 read only 20-3 registers 20-2 supervisor space only 14-8 , 20-3 two-cycle mode 20-3 srammcr 20-3 srbk 17-14 srr 16-6 srr0 3-24 , 3-44 , 3-45 , 3-47 , 3-48 , 3-49 , 3-50 , 3-51 , 3-52 srr1 3-24 , 3-44 , 3-45 , 3-47 , 3-48 , 3-49 , 3-50 , 3-51 , 3-52 ss 14-41 ss 14-37 , 14-38 sse1 13-37 sse2 13-39 standard message format 16-1 frames 16-5 start bit (beginning of data frame) 14-50 -of-frame (sof) symbol 16-9 state machine 13-25 , 14-56 status register (qasr) 13-40 stf 17-11 stop 13-33 , 16-17 , 17-11 stop clocks to tcrs (clks) 17-13 enable (stop) bit f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-11 qadc 13-6 qsm 14-5 toucan 16-17 tpu 17-11 flag (stf) 17-11 sci end of data frame bit 14-50 storage control instructions, 3-44 storage reservation, 9-40 sts, 9-5 stufferr 16-31 subqueue 13-15 substitute remote request (srr) 16-6 successive approximation register (sar) 13-14 summary overflow 3-18 supervisor /unrestricted data space (supv) qadc 13-33 tpu 17-11 supervisor mode 3-20 and sram 14-8 , 20-3 supv 13-7 , 13-33 susg 21-50 sush 21-50 synchronized pulse-width modulation (spwm) d-40 syse 21-54 sysee 21-56 system clock output, 9-7 system reset interrupt, 3-44 ?t? t2cfilter 17-20 t2cg 17-7 , 17-11 t2clk pin filter control (t2cfilter) 17-20 t2csl 17-11 ta, 9-6 table stepper motor (tsm) d-8 tap controller 22-3 tb 3-19 tbl 3-19 , 3-23 tbu 3-19 , 3-23 tc 14-48 , 14-53 tcie 14-46 , 14-54 tck 22-3 tcnmcr 16-22 tcr 17-12 tcr1p 17-11 tcr2 clock/gate control (t2cg) 17-11 tdi 22-3 tdo 22-3 tdre 14-48 te 14-44 , 14-46 tea, 9-6 termination signals, 9-38 test access port controller. see tap controller clock 22-3 data input 22-3 data output 22-3 mode select 22-3 reset 22-3 test register (ramtst) 18-4 ticr 17-14 , 17-21 tie 14-46 , 14-54 time quanta clock 16-9 stamp 16-5 , 16-10 time base 3-19 timebase, 3-43 timer 16-29 timer count register 1 prescaler control (tcr1p) 17-11 synchronize mode (tsync) 16-27 timing, instruction 3-36 tms 22-3 tor1 13-41 tor2 13-41 toucan address map 16-20 bit timing configuration 16-8 operation 16-9 external pins 16-2 initialization sequence 16-11 interrupts 16-19 message buffer address map 16-22 operation 16-3 receive process 16-13 registers control register 0 (canctrl0) 16-25 control register 1 (ctrl1) 16-8 control register 1(canctrl1) 16-26 control register 2 (canctrl2) 16-28 control register 2 (ctrl2) 16-8 error and status register (estat) 16-30 free running timer register (timer) 16-29 interrupt configuration register (canicr) 16-24 flag register (iflag) 16-33 mask register (imask) 16-32 module configuration register (tcnmcr) 16-22 receive buffer 14 mask registers (rx14mskhi/lo) 16-30 buffer 15 mask registers (rx15mskhi/lo) 16-30 global mask registers (rxgmsklo/hi 16-29 rx/tx error counter registers (rxectr/txec- tr) 16-33 test configuration register (cantcr) 16-24 special operating modes 16-16 auto power save mode 16-18 debug mode 16-16 low-power stop mode 16-17 transmit process 16-12 tpu address map 17-8 components 17-2 freeze flag (tpuf) 17-14 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-12 function library 17-4 host interface 17-2 interrupts 17-5 microengine 17-2 operation 17-3 coherency 17-4 emulation support 17-4 event timing 17-3 interchannel communication 17-4 programmable channel service priority 17-4 parameter ram 17-2 , 17-22 address map 17-22 registers channel function select registers (cfsr) 17-15 interrupt enable register (cier) 17-5 , 17-15 status register (cisr) 17-5 , 17-19 priority registers (cpr) 17-18 decoded channel number register (dcnr) 17-19 development support control register (dscr) 17-12 support status register (dssr) 17-14 host sequence registers (hsqr) 17-16 service request registers (hssr) 17-17 link register (lr) 17-19 module configuration register (tpumcr) 17-10 service grant latch register (sglr) 17-19 test configuration register (tcr) 17-12 tpu interrupt configuration register (ticr) 17-14 , 17-21 scheduler 17-2 time bases 17-2 timer channels 17-2 tpu reference manual 17-3 , 17-17 tpu2 module configuration register 2 (tpumcr2) 17-20 tpuf 17-14 tpumcr 17-10 tpumcr2 17-20 tr 21-54 trace interrupt, 3-47 transaction (bus), 9-8 transfer length options 14-36 transfer acknowledge (ta), 9-38 transfer error acknowledge (tea), 9-39 transfer size (tsiz), 9-37 transfer start (ts), 9-36 transfers, alignment and packaging, 9-28 transfers, burst-inhibited, 9-16 transfers, termination signals, 9-39 transmission complete (tc) flag 14-53 interrupt enable (tcie) 14-54 transmit /receive status (tx/rx) 16-31 bit error (biterr) 16-31 complete bit (tc) 14-48 interrupt enable (tcie) 14-46 data register empty (tdre) flag 14-48 error status flag (txwarn) 16-31 interrupt enable (tie) 14-46 , 14-54 pin configuration control (txmode) 16-25 ram 14-22 transmitter enable (te) 14-44 transmitter enable (te) 14-46 , 14-52 tre 21-56 trigger event 13-44 trst 22-3 ts, 9-5 tsiz(0 1), 9-4 tsiz0, 9-1 tsiz1, 9-1 tsm d-8 t sr 13-6 tsync 16-27 two-cycle mode, sram 20-3 tx length 16-5 tx/rx 16-31 txectr 16-33 txmode 16-25 txwarn 16-31 ?u? uart d-13 uisa register set 3-11 universal asynchronous receiver/transmitter (uart) d-13 parameters receiver parameters d-15 transmitter parameters d-14 unordered exceptions 3-34 user level registers 3-11 using the tpu function library and tpu emulation mode 17-4 ux bit 3-14 ?v? v dd 13-5 v dda 13-5 v dda/2 13-14 ve bit 3-15 vector table, exception 3-36 vector table, exceptions 3-35 v ih 13-8 v il 13-8 voltage reference pins 13-5 v rh 13-5 , 13-14 , 13-47 v rl 13-5 , 13-14 , 13-47 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-13 v ss 13-5 v ssa 13-5 vx bit 3-14 vxcvi bit 3-15 vxidi 3-14 vximz bit 3-14 vxisi 3-14 vxsnan 3-14 vxsoft bit 3-15 vxsqrt bit 3-15 vxvc bit 3-14 vxzdz bit 3-14 ?w? wake 14-46 , 14-58 wake interrupt (wakeint) 16-32 wakeint 16-17 , 16-32 wakemsk 16-17 wakeup address mark (wake) 14-46 , 14-58 wired-or mode for qspi pins (womq) 14-17 for sci pins (woms) 14-46 , 14-53 womq 14-17 woms 14-46 , 14-53 wrap enable (wren) 14-19 to (wrto) 14-19 wraparound mode 14-15 master 14-37 wren 14-19 write cycle data bus contents, 9-30 wrto 14-19 ?x? xe bit 3-15 xer 3-17 xx bit 3-14 ?z? ze bit 3-15 zx bit 3-14 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
MPC555 / mpc556 index motorola user?s manual rev. 15 october 2000 index-14 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola reserves the right to make changes without further not ice to any products herein. motorola makes no warranty, represen tation or guarantee regarding the suitability of its products for any particular purpose, nor does motorola assume any liability arising out of the applicati on or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. ?typical? param eters can and do vary in different applications. all operating parameters, including ?typicals? must be validated for each customer application by customer?s tech nical experts. motorola does not convey any license under its patent rights nor the rights of others. motorola products are not designed, intended, or authorize d for use as components in systems intended for surgical implant into the body, or other applicati ons intended to support or sustain life, or for any other applic ation in which the failure of the motorola product could create a situation where personal injury or death may occur. should buyer purchase or use motorola products for a ny such unintended or unauthorized application, buyer shall indemnify and hold motorola and its officers, employees, subsidiaries, affiliates, and di stributors harmless against all claims, costs, damages, and expenses, and reasonable attor ney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that moto rola was negligent regarding the design or manufacture of t he part. motorola is a registered trademark of motorola, inc. motorola, inc. is an equal opportunity/affirmative action employer. to obtain additional product information: usa/europe: motorola literature distribution; p.o. box 20912; phoenix, arizona 85036. 1-800-441-2447 japan: nippon motorola ltd.; tatsumi-spd-jldc, toshikatsu otsuki, 6f seibu-butsuryu-center, 3-14-2 tatsumi koto-ku, tokyo 135, japan. 03-3521-8315 hong kong: motorola semiconductors h.k. ltd.; 8b tai ping industrial park, 51 ting kok road, tai po, n.t., hong kong. 852-26629298 mfax: rmfax0@email.sps.mot.com - touchtone (602) 244-6609 internet: http://www.mot.com f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .


▲Up To Search▲   

 
Price & Availability of MPC555

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X